Skip site navigation (1)Skip section navigation (2)
Date:      Mon, 14 Sep 2020 18:44:22 +0000 (UTC)
From:      Ganael LAPLANCHE <martymac@FreeBSD.org>
To:        ports-committers@freebsd.org, svn-ports-all@freebsd.org, svn-ports-head@freebsd.org
Subject:   svn commit: r548670 - in head/emulators/jzintv: . files
Message-ID:  <202009141844.08EIiMZs047828@repo.freebsd.org>

next in thread | raw e-mail | index | archive | help
Author: martymac
Date: Mon Sep 14 18:44:22 2020
New Revision: 548670
URL: https://svnweb.freebsd.org/changeset/ports/548670

Log:
  Update to 1.0.20200712

Added:
  head/emulators/jzintv/files/Makefile.freebsd_sdl2   (contents, props changed)
Deleted:
  head/emulators/jzintv/files/patch-src-asm-frasmdat.h
  head/emulators/jzintv/files/patch-src-gif-gif_enc.c
  head/emulators/jzintv/files/patch-src-gif-gif_enc.h
  head/emulators/jzintv/files/patch-src-imasm-parser.cpp
  head/emulators/jzintv/files/patch-src_Makefile.freebsd
Modified:
  head/emulators/jzintv/Makefile
  head/emulators/jzintv/distinfo
  head/emulators/jzintv/pkg-plist

Modified: head/emulators/jzintv/Makefile
==============================================================================
--- head/emulators/jzintv/Makefile	Mon Sep 14 18:39:53 2020	(r548669)
+++ head/emulators/jzintv/Makefile	Mon Sep 14 18:44:22 2020	(r548670)
@@ -2,12 +2,11 @@
 # $FreeBSD$
 
 PORTNAME=	jzintv
-PORTVERSION=	1.0b4
-PORTREVISION=	4
+PORTVERSION=	1.0.20200712
+PORTEPOCH=	1
 CATEGORIES=	emulators
 MASTER_SITES=	http://spatula-city.org/~im14u2c/intv/dl/
-DISTFILES=	${PORTNAME}-1.0-beta4-src${EXTRACT_SUFX} \
-		${PORTNAME}-1.0-beta4-sdk1600${EXTRACT_SUFX}
+DISTNAME=	${PORTNAME}-${PORTVERSION:S/^1.0.//1}-src
 
 MAINTAINER=	martymac@FreeBSD.org
 COMMENT=	Portable Intellivision(TM) Emulator
@@ -16,27 +15,28 @@ LICENSE=	GPLv2
 
 USES=		alias dos2unix gmake sdl xorg zip
 USE_XORG=	x11 xau xdmcp
-USE_SDL=	sdl
+USE_SDL=	sdl2
 
 DOS2UNIX_GLOB=	*.[ch]
-CPPFLAGS+=	-I${LOCALBASE}/include `${SDL_CONFIG} --cflags`
-LDFLAGS+=	-L${LOCALBASE}/lib `${SDL_CONFIG} --libs` -lm
-WRKSRC=		${WRKDIR}/jzintv-1.0-beta4
+MAKE_ENV+=	LFLAGS="${LDFLAGS}"
+WRKSRC=		${WRKDIR}/${PORTNAME}-${PORTVERSION:S/^1.0.//1}-src
 BUILD_WRKSRC=	${WRKSRC}/src
 
 OPTIONS_DEFINE=	TOOLS DOCS EXAMPLES
 OPTIONS_SUB=	yes
 TOOLS_DESC=	Install additional tools
 
-TOOLS=	as1600 bin2rom cgc_update crc32 dasm0256 dasm1600 dasm1600o dis1600 \
-	frombit frombit_r fromhex gms2rom imvtogif imvtoppm rom2bin rom_merge \
-	show_grom split_rom tobit tobit_f tobit_r tohex
+TOOLS=	as1600 bin2luigi bin2rom cgc_update crc32 dasm0256 dasm1600 dis1600 \
+	frombit frombit_r fromhex gms2rom imvtogif imvtoppm intvname luigi2bin \
+	lzo_embed rom_merge rom_metadata rom2bin rom2luigi show_grom split_rom \
+	tobit tobit_f tobit_r tohex
 
 SUB_FILES=	pkg-message
 
 post-patch:
-	@${REINPLACE_CMD} '288s|/usr/local/share/jzintv|${DATADIR}|' ${BUILD_WRKSRC}/config.h
-	@${CP} ${BUILD_WRKSRC}/Makefile.freebsd ${BUILD_WRKSRC}/Makefile
+	@${REINPLACE_CMD} '/DEFAULT_ROM_PATH/s|/usr/local/share/jzintv|${DATADIR}|' ${BUILD_WRKSRC}/config.h
+	@${CP} ${FILESDIR}/Makefile.freebsd_sdl2 ${BUILD_WRKSRC}/Makefile.freebsd_sdl2
+	@${LN} -sf ${BUILD_WRKSRC}/Makefile.freebsd_sdl2 ${BUILD_WRKSRC}/Makefile
 
 pre-build:
 	${MKDIR} ${WRKSRC}/bin

Modified: head/emulators/jzintv/distinfo
==============================================================================
--- head/emulators/jzintv/distinfo	Mon Sep 14 18:39:53 2020	(r548669)
+++ head/emulators/jzintv/distinfo	Mon Sep 14 18:44:22 2020	(r548670)
@@ -1,4 +1,3 @@
-SHA256 (jzintv-1.0-beta4-src.zip) = daef8aba67b5a6d1abb9c52873c6f664c534ed5bd3b261518032c46db2ec74a0
-SIZE (jzintv-1.0-beta4-src.zip) = 1128002
-SHA256 (jzintv-1.0-beta4-sdk1600.zip) = 89166dbad20e907456540bf0803056e6116f329e802874d5708997a465469795
-SIZE (jzintv-1.0-beta4-sdk1600.zip) = 1369231
+TIMESTAMP = 1599679414
+SHA256 (jzintv-20200712-src.zip) = b36b3b274d0fc05cb227818d4957f96620d23d8d6b7596c24f07675ced7129f3
+SIZE (jzintv-20200712-src.zip) = 5523485

Added: head/emulators/jzintv/files/Makefile.freebsd_sdl2
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/emulators/jzintv/files/Makefile.freebsd_sdl2	Mon Sep 14 18:44:22 2020	(r548670)
@@ -0,0 +1,87 @@
+##############################################################################
+## Source-level Makefile for jzintv
+##
+## The whole make process is driven from the top-level Makefile.  In contrast
+## to the (poor) advice given in the Make documentation, I do _not_ call make
+## recursively to build the source of this project.
+##############################################################################
+
+##############################################################################
+## Project directory structure
+##############################################################################
+B=../bin
+L=../lib
+R=../rom
+
+##############################################################################
+## Project-wide build flags
+##############################################################################
+P           = export PATH;
+
+SDL2_CFLAGS := $(shell sdl2-config --cflags) -DUSE_SDL2
+SDL2_LFLAGS := $(shell sdl2-config --libs) 
+
+# Set "X" to be the executable extension, and "O" to be the object extension.
+X = 
+O = o
+
+# Set "RM" to be the remove/delete command
+RM = rm -f 
+
+WARN    = -Wall -W -Wshadow -Wpointer-arith \
+          -Wbad-function-cast -Wcast-qual 
+WARNXX  = -Wall -W -Wshadow -Wpointer-arith -Wcast-qual 
+
+ CC  ?= $(P) cc
+ CXX ?= $(P) c++
+#CC  = $(P) /usr/local/bin/gcc -V4.1.1
+#CXX = $(P) /usr/local/bin/g++ 
+#CC  = $(P) icc
+#CC  = $(P) /usr/bin/gcc
+#CXX = $(P) /usr/bin/g++
+
+#DEF_FLAGS = -DDIRECT_INTV2PC
+#DEF_FLAGS = -DNEED_INOUT
+
+#OPT_FLAGS = -ggdb 
+#OPT_FLAGS = -O
+#OPT_FLAGS = -O2 -ggdb
+ OPT_FLAGS = -O3 -fomit-frame-pointer -fprefetch-loop-arrays #-DBENCHMARK_STIC
+#OPT_FLAGS = -O2 -pg -ggdb -DLOCAL=
+#OPT_FLAGS = -tpp6 -axMiKW -ip -vec_report3 -opt_report -ansi_alias -restrict -DHAVE_RESTRICT -align -O3 -Ob1 # -ipo # intel icc flags
+
+CFLAGS   ?= $(OPT_FLAGS) $(WARN)   $(DEF_FLAGS) $(EXTRA)
+CXXFLAGS ?= $(OPT_FLAGS) $(WARNXX) $(DEF_FLAGS) $(EXTRA)
+#LFLAGS   = /usr/local/lib/libgcc_s.so -L../lib 
+LFLAGS   ?= -L../lib 
+
+OBJS      = jzintv.$(O)
+PROG_SDL2 = $(B)/jzintv
+TOCLEAN   = $(B)/jzintv core
+
+CFLAGS += $(SDL2_CFLAGS) -I. -I..
+CXXFLAGS += $(SDL2_CFLAGS) -I. -I..
+LFLAGS += $(SDL2_LFLAGS) -L../lib -lm
+
+##############################################################################
+## Generic build-rules
+##############################################################################
+
+all: build
+
+$(PROG_SDL2): $(OBJS) $(OBJS_SDL2)
+	$(CXX) -o $(PROG_SDL2) $(OBJS) $(OBJS_SDL2) $(CFLAGS) $(LFLAGS)
+
+clean:
+	$(RM) $(OBJS)
+	$(RM) $(OBJS_SDL2)
+	$(RM) $(TOCLEAN)
+
+%.$(O): %.c
+	$(CC) -o $@ $(CFLAGS) -c $<
+
+##############################################################################
+## Makefile.common includes all the subMakefiles and such
+##############################################################################
+include Makefile.common
+build: jzIntv SDK-1600

Modified: head/emulators/jzintv/pkg-plist
==============================================================================
--- head/emulators/jzintv/pkg-plist	Mon Sep 14 18:39:53 2020	(r548669)
+++ head/emulators/jzintv/pkg-plist	Mon Sep 14 18:44:22 2020	(r548670)
@@ -1,302 +1,552 @@
 bin/jzintv
 %%DATADIR%%/rom/emu_ver.rom
 %%DATADIR%%/rom/event_diag.rom
+%%DATADIR%%/rom/jlp_rand_stats.rom
+%%DATADIR%%/rom/jlp_test.rom
 %%DATADIR%%/rom/joy_diag.rom
 %%TOOLS%%bin/jzintv-as1600
+%%TOOLS%%bin/jzintv-bin2luigi
+%%TOOLS%%bin/jzintv-bin2rom
 %%TOOLS%%bin/jzintv-cgc_update
 %%TOOLS%%bin/jzintv-crc32
+%%TOOLS%%bin/jzintv-dasm0256
 %%TOOLS%%bin/jzintv-dasm1600
 %%TOOLS%%bin/jzintv-dis1600
+%%TOOLS%%bin/jzintv-frombit
 %%TOOLS%%bin/jzintv-frombit_r
+%%TOOLS%%bin/jzintv-fromhex
 %%TOOLS%%bin/jzintv-gms2rom
+%%TOOLS%%bin/jzintv-imvtogif
 %%TOOLS%%bin/jzintv-imvtoppm
+%%TOOLS%%bin/jzintv-intvname
+%%TOOLS%%bin/jzintv-luigi2bin
+%%TOOLS%%bin/jzintv-lzo_embed
 %%TOOLS%%bin/jzintv-rom2bin
-%%TOOLS%%bin/jzintv-show_grom
-%%TOOLS%%bin/jzintv-tobit
-%%TOOLS%%bin/jzintv-tobit_r
-%%TOOLS%%bin/jzintv-bin2rom
-%%TOOLS%%bin/jzintv-dasm0256
-%%TOOLS%%bin/jzintv-dasm1600o
-%%TOOLS%%bin/jzintv-frombit
-%%TOOLS%%bin/jzintv-fromhex
-%%TOOLS%%bin/jzintv-imvtogif
+%%TOOLS%%bin/jzintv-rom2luigi
 %%TOOLS%%bin/jzintv-rom_merge
+%%TOOLS%%bin/jzintv-rom_metadata
+%%TOOLS%%bin/jzintv-show_grom
 %%TOOLS%%bin/jzintv-split_rom
+%%TOOLS%%bin/jzintv-tobit
 %%TOOLS%%bin/jzintv-tobit_f
+%%TOOLS%%bin/jzintv-tobit_r
 %%TOOLS%%bin/jzintv-tohex
+%%PORTDOCS%%%%DOCSDIR%%/doc/De_Re_Intellivision/dri_1.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/De_Re_Intellivision/dri_2.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/De_Re_Intellivision/dri_6.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/De_Re_Intellivision/dri_9.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/README.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/color/color.html
+%%PORTDOCS%%%%DOCSDIR%%/doc/color/colors_new.png
+%%PORTDOCS%%%%DOCSDIR%%/doc/color/intellivision_color_matrix.gif
+%%PORTDOCS%%%%DOCSDIR%%/doc/historic/bsr/voicefaq.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/historic/intellicart/.exrc
+%%PORTDOCS%%%%DOCSDIR%%/doc/historic/intellicart/banksw.asm
+%%PORTDOCS%%%%DOCSDIR%%/doc/historic/intellicart/banksw.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/historic/intellicart/simple.asm
+%%PORTDOCS%%%%DOCSDIR%%/doc/historic/intvpc/res_file.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/historic/jzintv/README.mac
+%%PORTDOCS%%%%DOCSDIR%%/doc/historic/jzintv/cp_1610.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/jzintv/.exrc
+%%PORTDOCS%%%%DOCSDIR%%/doc/jzintv/Known-Issues.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/jzintv/alt_ecs.kbd
+%%PORTDOCS%%%%DOCSDIR%%/doc/jzintv/cheat.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/jzintv/cp_1610.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/jzintv/debugger.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/jzintv/good_deal_games_interview.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/jzintv/joystick.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/jzintv/jzintv.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/jzintv/kbdhackfile.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/jzintv/retrobox.kbd
+%%PORTDOCS%%%%DOCSDIR%%/doc/jzintv/retronic-usb-raw.kbd
+%%PORTDOCS%%%%DOCSDIR%%/doc/jzintv/source.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/jzintv/stic.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/jzintv/tn4fig1.gif
+%%PORTDOCS%%%%DOCSDIR%%/doc/platform/.exrc
+%%PORTDOCS%%%%DOCSDIR%%/doc/platform/README-win32.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/programming/cp1600_ref.pdf
+%%PORTDOCS%%%%DOCSDIR%%/doc/programming/cp1600_summary.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/programming/graphics_mem.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/programming/intellicart.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/programming/interrupts.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/programming/intro_to_cp1600.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/programming/memory_map.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/programming/psg.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/programming/stic.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/rom_fmt/IntellicartManual.booklet.pdf
+%%PORTDOCS%%%%DOCSDIR%%/doc/rom_fmt/authors
+%%PORTDOCS%%%%DOCSDIR%%/doc/rom_fmt/id_tag.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/.exrc
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/easter.txt
 %%PORTDOCS%%%%DOCSDIR%%/doc/tech/ecs.html
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/images/sp0256_cpu.png
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/fig/block_diagram.fig
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/fig/cart_bot.fig
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/fig/cart_top.fig
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/fig/filtstage.fig
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/fig/intrm_busrq.fig
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/fig/sp0256_block.fig
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/fig/sp0256_cpu.fig
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/fig/state_flow_diag.fig
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/hardware.html
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/images/block.png
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/images/cart_bot.png
 %%PORTDOCS%%%%DOCSDIR%%/doc/tech/images/cart_top.png
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/images/schematic.png
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/images/filtstage.png
 %%PORTDOCS%%%%DOCSDIR%%/doc/tech/images/intrm_busrq.png
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/images/block.png
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/images/schematic.png
 %%PORTDOCS%%%%DOCSDIR%%/doc/tech/images/sp0256_block.png
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/images/sp0256_cpu.png
 %%PORTDOCS%%%%DOCSDIR%%/doc/tech/images/state_flow_diag.gif
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/images/filtstage.png
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/images/cart_bot.png
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/master.html
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/index.html
 %%PORTDOCS%%%%DOCSDIR%%/doc/tech/ivoice.c
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/fig/filtstage.fig
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/fig/intrm_busrq.fig
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/fig/block_diagram.fig
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/fig/state_flow_diag.fig
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/fig/cart_bot.fig
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/fig/sp0256_cpu.fig
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/fig/sp0256_block.fig
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/fig/cart_top.fig
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/ivoice.h
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/ivoice.html
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/keyboard.html
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/master.html
 %%PORTDOCS%%%%DOCSDIR%%/doc/tech/overview.html
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/sp0256_instr_set.html
 %%PORTDOCS%%%%DOCSDIR%%/doc/tech/state_flow_diag.html
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/thumb/t_cart_top.png
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/thumb/t_schematic.png
 %%PORTDOCS%%%%DOCSDIR%%/doc/tech/thumb/t_block.png
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/thumb/t_state_flow_diag.gif
 %%PORTDOCS%%%%DOCSDIR%%/doc/tech/thumb/t_cart_bot.png
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/thumb/t_cart_top.png
 %%PORTDOCS%%%%DOCSDIR%%/doc/tech/thumb/t_intrm_busrq.png
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/sp0256_instr_set.html
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/keyboard.html
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/hardware.html
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/ivoice.h
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/.exrc
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/easter.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/ivoice.html
-%%PORTDOCS%%%%DOCSDIR%%/doc/tech/index.html
-%%PORTDOCS%%%%DOCSDIR%%/doc/programming/cp1600_ref.pdf
-%%PORTDOCS%%%%DOCSDIR%%/doc/programming/cp1600_summary.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/programming/intro_to_cp1600.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/programming/stic.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/programming/graphics_mem.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/programming/psg.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/programming/intellicart.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/programming/interrupts.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/programming/memory_map.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/voice/al2.pdf
-%%PORTDOCS%%%%DOCSDIR%%/doc/voice/filtstage.fig
-%%PORTDOCS%%%%DOCSDIR%%/doc/voice/instr_set.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/color/color.html
-%%PORTDOCS%%%%DOCSDIR%%/doc/color/intellivision_color_matrix.gif
-%%PORTDOCS%%%%DOCSDIR%%/doc/color/colors_new.png
-%%PORTDOCS%%%%DOCSDIR%%/doc/rom_fmt/authors
-%%PORTDOCS%%%%DOCSDIR%%/doc/rom_fmt/id_tag.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/rom_fmt/IntellicartManual.booklet.pdf
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/thumb/t_schematic.png
+%%PORTDOCS%%%%DOCSDIR%%/doc/tech/thumb/t_state_flow_diag.gif
+%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/as1600.pdf
+%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/as1600.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/classify_example.asm
+%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/dasm0256.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/dasm1600.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/exprlist_example.asm
 %%PORTDOCS%%%%DOCSDIR%%/doc/utilities/frombit.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/test_cart.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/gms2rom.txt
 %%PORTDOCS%%%%DOCSDIR%%/doc/utilities/fromhex.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/show_grom.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/as1600.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/gms2rom.txt
 %%PORTDOCS%%%%DOCSDIR%%/doc/utilities/macros.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/dasm0256.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/tobit.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/as1600.pdf
+%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/show_grom.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/test_cart.txt
 %%PORTDOCS%%%%DOCSDIR%%/doc/utilities/test_hcif.txt
+%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/tobit.txt
 %%PORTDOCS%%%%DOCSDIR%%/doc/utilities/tohex.txt
-%%PORTDOCS%%%%DOCSDIR%%/doc/utilities/dasm1600.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/GOOD_ROM_NAMES.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/terminal/terminal.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/terminal/ansi.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/balls2/balls2.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/balls2/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/mem_test/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/mem_test/mem_test.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sky/sky.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/ecs_kbd/scan_kbd.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/ecs_kbd/scan_syn.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/ecs_kbd/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/mazedemo/mazedemo.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/mazedemo/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/csumexec/csumexec.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/csumexec/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gram_scroll2/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gram_scroll2/gram_scroll2.asm
+%%PORTDOCS%%%%DOCSDIR%%/doc/voice/al2.pdf
+%%PORTDOCS%%%%DOCSDIR%%/doc/voice/filtstage.fig
+%%PORTDOCS%%%%DOCSDIR%%/doc/voice/instr_set.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/4-tris.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/4_tris_easter_egg.png
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/4_tris_game_over.png
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/4_tris_in_game.png
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/4_tris_sound_test.png
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/mkfont16.c
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/nut1mrch.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/COPYING.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/4-tris.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/behappy.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/4_tris_title_screen.png
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/miscfont
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/COPYING.txt
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/4_tris_in_game.png
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/chindnce.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/behappy3.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/font.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/pm16.c
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/SOURCE.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/digits
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/_
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/facefont
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/4_tris_easter_egg.png
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/behappy.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/behappy3.txt
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/chindnce.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/trisfont
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/chindnce.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/digits
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/facefont
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/font.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/miscfont
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/mkfont16.c
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/nut1mrch.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gram_scroll/gram_scroll.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gram_scroll/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/el_event.mac
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/emu_link.mac
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/gfx.mac
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/cart.mac
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/initmem.mac
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/el_joy.mac
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/psg.mac
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/print.mac
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/util.mac
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/default.mac
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/el_fileio.mac
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/stic.mac
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/wide_gfx.mac
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/bankworld/bankworld.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/bankdemo/bankdemo.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/bankdemo/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/nut1mrch.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/pm16.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/4-tris/trisfont
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/GOOD_ROM_NAMES.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/README.txt
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/balls1/README.txt
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/balls1/balls1.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/cc3serial/loopback.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/cc3serial/cc3serial.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/cc3serial/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/cc3serial/cc3ser2.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/mob_test/mob_test.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/mob_test/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tagalong2v/tagalong2v.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/balls2/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/balls2/balls2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/bankdemo/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/bankdemo/bankdemo.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/banktest/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/banktest/banktest.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/bankworld/bankworld.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/bncpix/README.txt
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/bncpix/bncpix.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/ecscable/ec_mon2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/cc3serial/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/cc3serial/cc3_loopback.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/cc3serial/cc3ser2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/cc3serial/cc3serial.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/counttap/counttap.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/csumexec/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/csumexec/csumexec.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/ecs_kbd/ECS_Keyboard_Layout.pdf
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/ecs_kbd/ECS_Keyboard_Layout.png
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/ecs_kbd/ECS_Keyboard_Scan_Table.gnumeric
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/ecs_kbd/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/ecs_kbd/scan_kbd.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/ecs_kbd/scan_syn.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/ecscable/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/mob_ll.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/atr_data.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/tank.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/util.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/mob_data.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/profile.c
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/gfx_data.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/objects.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/status.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/ecscable/ec_mon2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/fileio/a_prnum16.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/fileio/ascii.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/fileio/fileio.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/geese/README.txt
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/geese/geese.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/hello/hello.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/hello/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/syn_test/syn_test.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/counttap/counttap.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/banktest/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/banktest/banktest.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gram_scroll/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gram_scroll/gram_scroll.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gram_scroll2/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gram_scroll2/gram_scroll2.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/handdemo/README.txt
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/handdemo/handdemo.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/fileio/fileio.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/fileio/ascii.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/fileio/a_prnum16.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/synth/notes.c
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/synth/synth_gfx.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/synth/synth.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/synth/notes.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/life/life.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/life/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/task/pause.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/task/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/task/scanhand.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/task/taskq.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/task/timer.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/task/sleep.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tagalong/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tagalong/tagalong.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/world/world.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/world/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/hello/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/hello/hello.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/jlpserial/jlp_loopback.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/jlpserial/jlpserial.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/jlpserial/looptest.c
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/kbd_test/kbd_test.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tagalong2/tagalong2.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tagalong2/README.txt
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tagalong2/tagalong2b.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/dec16only.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/memunpk.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/print.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/hex16.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__gg1.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__aw.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__el.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__nn2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__aa.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ae1.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__tt1.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ao.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ar.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__aw.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ax.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ay.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__hh2.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__rr1.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ey.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__pa3.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__pa4.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__dh2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__bb1.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__bb2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ch.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__dd1.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__dd2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__dh1.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__dh2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__eh.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__el.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__er1.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__er2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ey.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ff.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__gg1.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__gg2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__gg3.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__hh1.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__hh2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ih.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__iy.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__zh.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__jh.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__kk1.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__xr2.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__zz.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__pp.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__bb2.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ch.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__kk2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__kk3.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ll.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__pa5.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__mm.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ng1.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__nn1.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__nn2.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__or.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ff.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ow.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__oy.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__pa1.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__pa2.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ax.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__er1.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__uw2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__pa3.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__pa4.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__pa5.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__pp.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__rr1.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__rr2.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__sh.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ss.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__jh.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__wh.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__mm.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__yy1.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__er2.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ww.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__kk3.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__uw1.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__yy2.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__pa1.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ng1.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__th.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__tt1.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__tt2.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__uh.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__gg3.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__uw1.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__uw2.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__vv.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ar.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__dd1.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__wh.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ww.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__xr2.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__yr.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__kk2.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ih.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__th.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__bb1.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__gg2.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__tt2.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__eh.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__nn1.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__oy.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__rr2.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__aa.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__hh1.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ao.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__dh1.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__ow.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/wnk.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/randfast.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__yy1.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__yy2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__zh.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/al2/al2__zz.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/colorsq.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/colorsq_clip.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/colorsq_sv.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/dec16dec32.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/dec16only.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/dist_fast.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/dividivu.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/fastdivu.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/fillmem.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/initmem.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/rand.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/gimini.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/hex16.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/hexdisp.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/memcpy.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/ic_banksw.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/idiv.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/initmem.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/isqrt.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/ivoice.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/jdiv.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/jlp_accel.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/jlp_savegame.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/memcmp.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/saynum16.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/prnum32.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/dec16dec32.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/dist_fast.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/memcpy.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/memset.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/memunpk.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/print.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/prnum16.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/prnum32.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/rand.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/randfast.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/resrom.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/saynum16.asm
 %%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/sqrt.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/dividivu.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/gimini.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/memset.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/colorsq_clip.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/colorsq_sv.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/ivoice.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/ic_banksw.asm
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/colorsq.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/sqrt_doc.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/library/wnk.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/life/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/life/life.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/lines/lines.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/cart.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/cp1600x.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/default.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/el_event.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/el_fileio.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/el_joy.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/emu_link.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/gfx.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/initmem.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/print.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/psg.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/stic.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/util.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macro/wide_gfx.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/mazedemo/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/mazedemo/mazedemo.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/mem_test/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/mem_test/mem_test.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/mem_test_ra39600/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/mem_test_ra39600/mem_test_ra39600.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/mob_test/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/mob_test/mob_test.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sky/sky.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/.exrc
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/COPYING.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/Makefile
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/_exrc
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/bg/bgengine.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/bg/bgfollow.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/bg/bgmpind.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/bg/bgsaucer.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/bg/bgthink.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/bg/saucer.sct
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/bin/bldflags.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/build.bat
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/config.h
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/crc16.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/crc16.h
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/fixcfg.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/fixsum.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/icartrom.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/icartrom.h
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/jumprock.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/makecity.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/makemmnt.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/makerock.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/maketmnt.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/mkfont16.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/pm16.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/profile.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/rom2bin.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/sctc.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/today.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/c/wasm3.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/dbgmac.cfg
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/doc/data_structures.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/doc/initmem.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/ckbgb.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/ckbgp.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/ckbrc.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/ckggb.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/clrsp.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/engine1.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/engine2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/runeng.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/sfxeng.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/tank.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/tictsk.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/tracker.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/ttlsp.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/twinkle.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/upani.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/upbgp.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/upbsp.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/upcrd.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/uphbp.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/upmux.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/upspa.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/engine/upspp.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/exe/fixsum.exe
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/exe/makecity.exe
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/exe/makerock.exe
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/exe/maketmnt.exe
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/exe/mkfont16.exe
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/exe/pm16.exe
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/exe/profile.exe
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/exe/sctc.exe
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/exe/today.exe
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/exe/wasm3.exe
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/fonts/creep.fnt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/fonts/creep2.fnt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/fonts/creep3.fnt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/fonts/creep4.fnt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/fonts/digit.fnt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/fonts/game.fnt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/fonts/joe.fnt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/fonts/misc.fnt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/fonts/mountain.fnt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/fonts/sega.fnt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/fonts/spcpat.fnt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/fonts/spin2.fnt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/fonts/tank.fnt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/game/ckpoint.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/game/data.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/game/gameloop.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/game/gameover.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/game/level.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/game/menu.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/game/mission.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/game/names.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/game/score.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/game/title.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfx/ground.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfx/hut.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfx/midcity1.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfx/midcity2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfx/midcity3.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfx/midcity4.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfx/midmount.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfx/rcsdata.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfx/rockbg.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfx/rockcrat.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfx/spatbl.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfx/spin1.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfx/spin2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfx/title.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfx/topmount.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfx/wheels.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfxsrc/crat1
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfxsrc/crat2
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfxsrc/midcity1
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfxsrc/midcity2
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfxsrc/midcity3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfxsrc/midcity4
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfxsrc/midmount
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfxsrc/rock1
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfxsrc/rock2
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfxsrc/rock3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfxsrc/rockbg
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/gfxsrc/topmount
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/macro/dseg.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/macro/gfx.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/macro/initmem.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/macro/p16.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/macro/s16.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/macro/score.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/macro/stic.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/macro/tracker.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/macro/util.mac
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/misc/shltbl.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/musicsrc/chicken.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/musicsrc/moonptrl.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/musicsrc/patrol.rgn
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/os/debounce.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/os/main_os.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/os/rand.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/os/setisr.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/os/wnk.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/snd/mp.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/snd/music.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/snd/sfx.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/spacepat.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/util/fillmem.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/util/hex16.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/util/initmem.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/util/menuinp.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/util/p16.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/util/pow10.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/util/prnum16.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/util/prnum32.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world.bat
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/beg_a_e.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/beg_f_j.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/beg_k_o.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/beg_p_t.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/beg_u_z.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/chl_a_e.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/chl_f_j.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/chl_k_o.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/chl_p_t.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/chl_u_z.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/demolvl.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/hgb_a_e.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/hgb_f_j.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/hgb_k_o.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/hgb_p_t.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/hgb_u_z.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/hgc_a_e.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/hgc_f_j.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/hgc_k_o.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/hgc_p_t.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/hgc_u_z.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/mab_a_e.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/mab_f_j.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/mab_k_o.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/mab_p_t.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/mab_u_z.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/mac_a_e.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/mac_f_j.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/mac_k_o.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/mac_p_t.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/mac_u_z.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/plb_a_e.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/plb_f_j.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/plb_k_o.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/plb_p_t.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/plb_u_z.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/plc_a_e.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/plc_f_j.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/plc_k_o.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/plc_p_t.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/plc_u_z.wr3
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/spawns.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spacepat/world/world.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/syn_test/syn_test.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/synth/notes.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/synth/notes.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/synth/synth.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/synth/synth_gfx.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tagalong/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tagalong/tagalong.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tagalong2/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tagalong2/tagalong2.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tagalong2/tagalong2b.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tagalong2v/tagalong2v.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tagalong2v_cp1600x/dec16_cp1600x.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tagalong2v_cp1600x/tagalong2v_cp1600x.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/atr_data.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/gfx_data.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/mob_data.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/mob_ll.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/objects.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/profile.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/status.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/tank.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tank/util.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/task/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/task/pause.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/task/scanhand.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/task/sleep.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/task/taskq.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/task/timer.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/terminal/ansi.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/terminal/terminal.asm
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/world/README.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/world/world.asm
+%%PORTEXAMPLES%%@dir %%EXAMPLESDIR%%/spacepat/genasm



Want to link to this message? Use this URL: <https://mail-archive.FreeBSD.org/cgi/mid.cgi?202009141844.08EIiMZs047828>