Skip site navigation (1)Skip section navigation (2)
Date:      Wed, 24 Jun 2015 14:23:15 +0000 (UTC)
From:      Vsevolod Stakhov <vsevolod@FreeBSD.org>
To:        ports-committers@freebsd.org, svn-ports-all@freebsd.org, svn-ports-head@freebsd.org
Subject:   svn commit: r390482 - in head/cad: . tkgate tkgate/files
Message-ID:  <201506241423.t5OENFoH075991@svn.freebsd.org>

next in thread | raw e-mail | index | archive | help
Author: vsevolod
Date: Wed Jun 24 14:23:14 2015
New Revision: 390482
URL: https://svnweb.freebsd.org/changeset/ports/390482

Log:
  Restore removed cad/tkgate.
  
  - Support staging
  - Tested with tcl/tk 8.6
  - Removed old patches
  - Convert to the modern port rules
  - Updated to version 2.0.0 (from bitbucket)

Added:
  head/cad/tkgate/
  head/cad/tkgate/Makefile   (contents, props changed)
  head/cad/tkgate/distinfo   (contents, props changed)
  head/cad/tkgate/files/
  head/cad/tkgate/files/patch-configure   (contents, props changed)
  head/cad/tkgate/pkg-descr   (contents, props changed)
  head/cad/tkgate/pkg-plist   (contents, props changed)
Modified:
  head/cad/Makefile

Modified: head/cad/Makefile
==============================================================================
--- head/cad/Makefile	Wed Jun 24 14:11:21 2015	(r390481)
+++ head/cad/Makefile	Wed Jun 24 14:23:14 2015	(r390482)
@@ -84,6 +84,7 @@
     SUBDIR += slffea
     SUBDIR += spice
     SUBDIR += sweethome3d
+    SUBDIR += tkgate
     SUBDIR += tochnog
     SUBDIR += transcalc
     SUBDIR += varkon

Added: head/cad/tkgate/Makefile
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/cad/tkgate/Makefile	Wed Jun 24 14:23:14 2015	(r390482)
@@ -0,0 +1,30 @@
+# $FreeBSD$
+
+PORTNAME=	tkgate
+PORTVERSION=	2.0.0
+CATEGORIES=	cad
+MASTER_SITES=	http://highsecure.ru/distfiles/ \
+		http://cdn.bitbucket.org/starling13/tkgate/downloads/
+
+MAINTAINER=	vsevolod@FreeBSD.org
+COMMENT=	Event driven digital circuit simulator
+
+LICENSE=	GPLv2
+LICENSE_FILE=	${WRKSRC}/COPYING
+
+GNU_CONFIGURE=	yes
+USES+=	tk:build,run
+
+post-patch:
+	@${REINPLACE_CMD} -e 's|/usr/bin/wish|${WISH}|g' ${WRKSRC}/scripts/tree.tcl
+	@${REINPLACE_CMD} -e \
+		's|%%TCL_VER%%|${TCL_VER}|g; \
+		s|%%TCL_INCLUDEDIR%%|${TCL_INCLUDEDIR}|g; \
+		s|%%LOCALBASE%%|${LOCALBASE}|g' \
+		${WRKSRC}/configure
+
+# Remove backup files somehow installed to the stagedir
+post-stage:
+	${FIND} ${STAGEDIR}/${DATADIR} -name '*~' -delete -or -name '*~?' -delete
+
+.include <bsd.port.mk>

Added: head/cad/tkgate/distinfo
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/cad/tkgate/distinfo	Wed Jun 24 14:23:14 2015	(r390482)
@@ -0,0 +1,2 @@
+SHA256 (tkgate-2.0.0.tar.gz) = 4a9829ec8e8082ef7ceb26b358a9a3e8c632c5726a24eccb389777db701ed10a
+SIZE (tkgate-2.0.0.tar.gz) = 8916887

Added: head/cad/tkgate/files/patch-configure
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/cad/tkgate/files/patch-configure	Wed Jun 24 14:23:14 2015	(r390482)
@@ -0,0 +1,29 @@
+--- configure.orig	2015-06-24 14:08:08 UTC
++++ configure
+@@ -2887,22 +2887,22 @@ $as_echo "#define TKGATE_COPYRIGHT \"Cop
+ #
+ # Tcl/Tk version we will try to use (from most favored to least favored)
+ #
+-TKGATE_TCLTK_VERSIONS="8.6 8.5 8.4 8.3"
++TKGATE_TCLTK_VERSIONS="%%TCL_VER%%"
+ 
+ #
+ # Directories to search for include files
+ #
+-TKGATE_INCDIRS="/usr/X11R6/include /usr/X11/include /pkgs/include /usr/local/include /usr/openwin/include /usr/X/include /usr/include /sw/include /opt/local/include"
++TKGATE_INCDIRS="%%LOCALBASE%%/include %%TCL_INCLUDEDIR%%"
+ 
+ #
+ # Directories to search for library files
+ #
+-TKGATE_LIBDIRS="/usr/X11R6/lib /usr/X11/lib /pkgs/lib /usr/local/lib /usr/lib /sw/lib /opt/local/lib /usr/local/lib/tcl /usr/lib64"
++TKGATE_LIBDIRS="%%LOCALBASE%%/lib"
+ 
+ #
+ # Libraries we may need if available.
+ #
+-#TKGATE_OPTLIBNAMES="dl socket nsl m"
++TKGATE_OPTLIBNAMES="m"
+ 
+ 
+ #############################################################################

Added: head/cad/tkgate/pkg-descr
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/cad/tkgate/pkg-descr	Wed Jun 24 14:23:14 2015	(r390482)
@@ -0,0 +1,7 @@
+TkGate is a event driven digital circuit simulator with a tcl/tk-based
+graphical editor. TkGate supports a wide range of primitive circuit elements as
+well as user-defined modules for hierarchical design.
+
+The distribution comes with a number of tutorial and example circuits.
+
+WWW: http://tkgate.org/

Added: head/cad/tkgate/pkg-plist
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/cad/tkgate/pkg-plist	Wed Jun 24 14:23:14 2015	(r390482)
@@ -0,0 +1,1399 @@
+bin/gmac
+bin/tkgate
+bin/verga
+man/man1/gmac.1.gz
+man/man1/tkgate.1.gz
+man/man1/verga.1.gz
+share/applications/tkgate.desktop
+%%PORTDOCS%%%%DOCSDIR%%/COPYING
+%%PORTDOCS%%%%DOCSDIR%%/ChangeLog
+%%PORTDOCS%%%%DOCSDIR%%/README
+%%PORTDOCS%%%%DOCSDIR%%/README.verga
+%%PORTDOCS%%%%DOCSDIR%%/TODO
+share/pixmaps/tkgate.png
+share/pixmaps/tkgate.xpm
+%%DATADIR%%/bindings/emacs
+%%DATADIR%%/bindings/none
+%%DATADIR%%/bindings/windows
+%%DATADIR%%/doc/74xx.txt
+%%DATADIR%%/doc/adding_gate_types.txt
+%%DATADIR%%/doc/favicon.ico
+%%DATADIR%%/doc/fig/adder_circuit.gif
+%%DATADIR%%/doc/fig/adder_circuit2.gif
+%%DATADIR%%/doc/fig/addjoint.gif
+%%DATADIR%%/doc/fig/after_andinv.gif
+%%DATADIR%%/doc/fig/after_cut.gif
+%%DATADIR%%/doc/fig/anallogo.gif
+%%DATADIR%%/doc/fig/analopts.gif
+%%DATADIR%%/doc/fig/analyze.gif
+%%DATADIR%%/doc/fig/arrow.gif
+%%DATADIR%%/doc/fig/arrow0.gif
+%%DATADIR%%/doc/fig/arrow180.gif
+%%DATADIR%%/doc/fig/arrow270.gif
+%%DATADIR%%/doc/fig/arrow4.gif
+%%DATADIR%%/doc/fig/arrow90.gif
+%%DATADIR%%/doc/fig/back.gif
+%%DATADIR%%/doc/fig/balloon.gif
+%%DATADIR%%/doc/fig/before_andinv.gif
+%%DATADIR%%/doc/fig/before_cut.gif
+%%DATADIR%%/doc/fig/biggatelogo.gif
+%%DATADIR%%/doc/fig/bittap.gif
+%%DATADIR%%/doc/fig/blk_claim.gif
+%%DATADIR%%/doc/fig/blk_close.gif
+%%DATADIR%%/doc/fig/blk_copy.gif
+%%DATADIR%%/doc/fig/blk_delete.gif
+%%DATADIR%%/doc/fig/blk_new.gif
+%%DATADIR%%/doc/fig/blk_open.gif
+%%DATADIR%%/doc/fig/blk_rename.gif
+%%DATADIR%%/doc/fig/blk_root.gif
+%%DATADIR%%/doc/fig/bp_bad.gif
+%%DATADIR%%/doc/fig/bp_disabled.gif
+%%DATADIR%%/doc/fig/bp_go.gif
+%%DATADIR%%/doc/fig/bp_standby.gif
+%%DATADIR%%/doc/fig/bp_stop.gif
+%%DATADIR%%/doc/fig/break.gif
+%%DATADIR%%/doc/fig/chdir.gif
+%%DATADIR%%/doc/fig/chip.gif
+%%DATADIR%%/doc/fig/circprop_general.gif
+%%DATADIR%%/doc/fig/circprop_simulation.gif
+%%DATADIR%%/doc/fig/cokemachine.gif
+%%DATADIR%%/doc/fig/cokemachinewin.gif
+%%DATADIR%%/doc/fig/colorOpts.gif
+%%DATADIR%%/doc/fig/coloroptions.gif
+%%DATADIR%%/doc/fig/conflict.gif
+%%DATADIR%%/doc/fig/connect1a.gif
+%%DATADIR%%/doc/fig/connect1b.gif
+%%DATADIR%%/doc/fig/connect1c.gif
+%%DATADIR%%/doc/fig/connect1d.gif
+%%DATADIR%%/doc/fig/connect2a.gif
+%%DATADIR%%/doc/fig/connect2b.gif
+%%DATADIR%%/doc/fig/connect2c.gif
+%%DATADIR%%/doc/fig/connect2d.gif
+%%DATADIR%%/doc/fig/cpathcirc.gif
+%%DATADIR%%/doc/fig/cpathdlg.gif
+%%DATADIR%%/doc/fig/create1.gif
+%%DATADIR%%/doc/fig/create2.gif
+%%DATADIR%%/doc/fig/create3.gif
+%%DATADIR%%/doc/fig/cretin.gif
+%%DATADIR%%/doc/fig/cretinout.gif
+%%DATADIR%%/doc/fig/cretout.gif
+%%DATADIR%%/doc/fig/crosshair.gif
+%%DATADIR%%/doc/fig/currot.gif
+%%DATADIR%%/doc/fig/cut_curs.gif
+%%DATADIR%%/doc/fig/cutters.gif
+%%DATADIR%%/doc/fig/del_curs.gif
+%%DATADIR%%/doc/fig/delgate.gif
+%%DATADIR%%/doc/fig/dipval.gif
+%%DATADIR%%/doc/fig/document.gif
+%%DATADIR%%/doc/fig/edbreak.gif
+%%DATADIR%%/doc/fig/edclock.gif
+%%DATADIR%%/doc/fig/edcomment.gif
+%%DATADIR%%/doc/fig/eddelay.gif
+%%DATADIR%%/doc/fig/edgat.gif
+%%DATADIR%%/doc/fig/edgat_delay.gif
+%%DATADIR%%/doc/fig/edgat_general.gif
+%%DATADIR%%/doc/fig/edgat_parameters.gif
+%%DATADIR%%/doc/fig/edgat_port.gif
+%%DATADIR%%/doc/fig/edgat_props.gif
+%%DATADIR%%/doc/fig/edit_brotate.gif
+%%DATADIR%%/doc/fig/edit_copy.gif
+%%DATADIR%%/doc/fig/edit_cut.gif
+%%DATADIR%%/doc/fig/edit_find.gif
+%%DATADIR%%/doc/fig/edit_overlay.gif
+%%DATADIR%%/doc/fig/edit_paste.gif
+%%DATADIR%%/doc/fig/edit_rotate.gif
+%%DATADIR%%/doc/fig/editintr.gif
+%%DATADIR%%/doc/fig/ednet.gif
+%%DATADIR%%/doc/fig/edport.gif
+%%DATADIR%%/doc/fig/edportnet.gif
+%%DATADIR%%/doc/fig/file_lib.gif
+%%DATADIR%%/doc/fig/file_new.gif
+%%DATADIR%%/doc/fig/file_open.gif
+%%DATADIR%%/doc/fig/file_print.gif
+%%DATADIR%%/doc/fig/file_save.gif
+%%DATADIR%%/doc/fig/file_saveas.gif
+%%DATADIR%%/doc/fig/filemenu.gif
+%%DATADIR%%/doc/fig/fileopen.gif
+%%DATADIR%%/doc/fig/forward.gif
+%%DATADIR%%/doc/fig/g_add.gif
+%%DATADIR%%/doc/fig/g_and.gif
+%%DATADIR%%/doc/fig/g_arshift.gif
+%%DATADIR%%/doc/fig/g_block.gif
+%%DATADIR%%/doc/fig/g_buf.gif
+%%DATADIR%%/doc/fig/g_bufif.gif
+%%DATADIR%%/doc/fig/g_clock.gif
+%%DATADIR%%/doc/fig/g_comment.gif
+%%DATADIR%%/doc/fig/g_demux.gif
+%%DATADIR%%/doc/fig/g_dip.gif
+%%DATADIR%%/doc/fig/g_div.gif
+%%DATADIR%%/doc/fig/g_ff.gif
+%%DATADIR%%/doc/fig/g_frame.gif
+%%DATADIR%%/doc/fig/g_ground.gif
+%%DATADIR%%/doc/fig/g_led.gif
+%%DATADIR%%/doc/fig/g_lshift.gif
+%%DATADIR%%/doc/fig/g_merge.gif
+%%DATADIR%%/doc/fig/g_mul.gif
+%%DATADIR%%/doc/fig/g_mux.gif
+%%DATADIR%%/doc/fig/g_nmos.gif
+%%DATADIR%%/doc/fig/g_or.gif
+%%DATADIR%%/doc/fig/g_pmos.gif
+%%DATADIR%%/doc/fig/g_ram.gif
+%%DATADIR%%/doc/fig/g_red.gif
+%%DATADIR%%/doc/fig/g_reg.gif
+%%DATADIR%%/doc/fig/g_roll.gif
+%%DATADIR%%/doc/fig/g_rom.gif
+%%DATADIR%%/doc/fig/g_rshift.gif
+%%DATADIR%%/doc/fig/g_supply.gif
+%%DATADIR%%/doc/fig/g_switch.gif
+%%DATADIR%%/doc/fig/g_tap.gif
+%%DATADIR%%/doc/fig/g_tty.gif
+%%DATADIR%%/doc/fig/g_xor.gif
+%%DATADIR%%/doc/fig/gatelogo.gif
+%%DATADIR%%/doc/fig/gateport.gif
+%%DATADIR%%/doc/fig/gatewin.gif
+%%DATADIR%%/doc/fig/gatewin_11.gif
+%%DATADIR%%/doc/fig/gatwin.gif
+%%DATADIR%%/doc/fig/generalOpts.gif
+%%DATADIR%%/doc/fig/hdlOpts.gif
+%%DATADIR%%/doc/fig/hdl_main.gif
+%%DATADIR%%/doc/fig/htmlOpts.gif
+%%DATADIR%%/doc/fig/i_modprops.gif
+%%DATADIR%%/doc/fig/icextract.gif
+%%DATADIR%%/doc/fig/ichipdir.gif
+%%DATADIR%%/doc/fig/inout.gif
+%%DATADIR%%/doc/fig/interfaceOpts.gif
+%%DATADIR%%/doc/fig/inv_curs.gif
+%%DATADIR%%/doc/fig/invaddel.gif
+%%DATADIR%%/doc/fig/label.gif
+%%DATADIR%%/doc/fig/libmanager.gif
+%%DATADIR%%/doc/fig/librariesOpts.gif
+%%DATADIR%%/doc/fig/log.gif
+%%DATADIR%%/doc/fig/logic.gif
+%%DATADIR%%/doc/fig/logic0.gif
+%%DATADIR%%/doc/fig/logic1.gif
+%%DATADIR%%/doc/fig/logicH.gif
+%%DATADIR%%/doc/fig/logicL.gif
+%%DATADIR%%/doc/fig/logicdata.gif
+%%DATADIR%%/doc/fig/logicx.gif
+%%DATADIR%%/doc/fig/logicz.gif
+%%DATADIR%%/doc/fig/majortabs.gif
+%%DATADIR%%/doc/fig/makeand.gif
+%%DATADIR%%/doc/fig/makemodinst1.gif
+%%DATADIR%%/doc/fig/makemodinst2.gif
+%%DATADIR%%/doc/fig/makemodinst3.gif
+%%DATADIR%%/doc/fig/manual.gif
+%%DATADIR%%/doc/fig/mialu.gif
+%%DATADIR%%/doc/fig/miindex.gif
+%%DATADIR%%/doc/fig/miregs.gif
+%%DATADIR%%/doc/fig/mod_hdl.gif
+%%DATADIR%%/doc/fig/mod_net.gif
+%%DATADIR%%/doc/fig/mod_netL.gif
+%%DATADIR%%/doc/fig/modclaim.gif
+%%DATADIR%%/doc/fig/modcopy.gif
+%%DATADIR%%/doc/fig/modcreate.gif
+%%DATADIR%%/doc/fig/moddel.gif
+%%DATADIR%%/doc/fig/modgen.gif
+%%DATADIR%%/doc/fig/modlist.gif
+%%DATADIR%%/doc/fig/modnew.gif
+%%DATADIR%%/doc/fig/modprops.gif
+%%DATADIR%%/doc/fig/modrename.gif
+%%DATADIR%%/doc/fig/modsetroot.gif
+%%DATADIR%%/doc/fig/modtree.gif
+%%DATADIR%%/doc/fig/module.gif
+%%DATADIR%%/doc/fig/mov_curs.gif
+%%DATADIR%%/doc/fig/movgat1.gif
+%%DATADIR%%/doc/fig/movgat2.gif
+%%DATADIR%%/doc/fig/movgrp1.gif
+%%DATADIR%%/doc/fig/movgrp2.gif
+%%DATADIR%%/doc/fig/movgrp3.gif
+%%DATADIR%%/doc/fig/movgrp4.gif
+%%DATADIR%%/doc/fig/multihierarchy.gif
+%%DATADIR%%/doc/fig/net_hwire.gif
+%%DATADIR%%/doc/fig/net_hwire2.gif
+%%DATADIR%%/doc/fig/net_probe.gif
+%%DATADIR%%/doc/fig/net_probe2.gif
+%%DATADIR%%/doc/fig/net_reg.gif
+%%DATADIR%%/doc/fig/net_reg2.gif
+%%DATADIR%%/doc/fig/net_wire.gif
+%%DATADIR%%/doc/fig/net_wire2.gif
+%%DATADIR%%/doc/fig/netprops.gif
+%%DATADIR%%/doc/fig/nihongo.gif
+%%DATADIR%%/doc/fig/oneshot.gif
+%%DATADIR%%/doc/fig/options.gif
+%%DATADIR%%/doc/fig/oscillator.gif
+%%DATADIR%%/doc/fig/parts.gif
+%%DATADIR%%/doc/fig/popcreate.gif
+%%DATADIR%%/doc/fig/port_in.gif
+%%DATADIR%%/doc/fig/port_in1.gif
+%%DATADIR%%/doc/fig/port_in2.gif
+%%DATADIR%%/doc/fig/port_inout.gif
+%%DATADIR%%/doc/fig/port_inout1.gif
+%%DATADIR%%/doc/fig/port_inout2.gif
+%%DATADIR%%/doc/fig/port_out.gif
+%%DATADIR%%/doc/fig/port_out1.gif
+%%DATADIR%%/doc/fig/port_out2.gif
+%%DATADIR%%/doc/fig/portparms.gif
+%%DATADIR%%/doc/fig/powerbsd.gif
+%%DATADIR%%/doc/fig/printOpts.gif
+%%DATADIR%%/doc/fig/printdlg_content.gif
+%%DATADIR%%/doc/fig/printdlg_output.gif
+%%DATADIR%%/doc/fig/printoptions.gif
+%%DATADIR%%/doc/fig/ptr.gif
+%%DATADIR%%/doc/fig/rungate1.gif
+%%DATADIR%%/doc/fig/rungate2.gif
+%%DATADIR%%/doc/fig/runsim.gif
+%%DATADIR%%/doc/fig/rxchar.gif
+%%DATADIR%%/doc/fig/scope.gif
+%%DATADIR%%/doc/fig/scopeSelection.gif
+%%DATADIR%%/doc/fig/search.gif
+%%DATADIR%%/doc/fig/securityOpts.gif
+%%DATADIR%%/doc/fig/show_xhair.gif
+%%DATADIR%%/doc/fig/showval.gif
+%%DATADIR%%/doc/fig/sim_break.gif
+%%DATADIR%%/doc/fig/sim_clock.gif
+%%DATADIR%%/doc/fig/sim_dump.gif
+%%DATADIR%%/doc/fig/sim_go.gif
+%%DATADIR%%/doc/fig/sim_load.gif
+%%DATADIR%%/doc/fig/sim_pause.gif
+%%DATADIR%%/doc/fig/sim_script.gif
+%%DATADIR%%/doc/fig/sim_step.gif
+%%DATADIR%%/doc/fig/sim_stop.gif
+%%DATADIR%%/doc/fig/simcon_break.gif
+%%DATADIR%%/doc/fig/simcon_msg.gif
+%%DATADIR%%/doc/fig/simcon_opts.gif
+%%DATADIR%%/doc/fig/simcon_script.gif
+%%DATADIR%%/doc/fig/simerr.gif
+%%DATADIR%%/doc/fig/simerr_circ.gif
+%%DATADIR%%/doc/fig/simlogo.gif
+%%DATADIR%%/doc/fig/simmodhier.gif
+%%DATADIR%%/doc/fig/simoptions.gif
+%%DATADIR%%/doc/fig/simopts.gif
+%%DATADIR%%/doc/fig/simprint.gif
+%%DATADIR%%/doc/fig/simstart.gif
+%%DATADIR%%/doc/fig/simulateOpts.gif
+%%DATADIR%%/doc/fig/simulatetab.gif
+%%DATADIR%%/doc/fig/size_curs.gif
+%%DATADIR%%/doc/fig/small-circprop_general.gif
+%%DATADIR%%/doc/fig/small-circprop_simulation.gif
+%%DATADIR%%/doc/fig/small-cokemachinewin.gif
+%%DATADIR%%/doc/fig/small-colorOpts.gif
+%%DATADIR%%/doc/fig/small-edcomment.gif
+%%DATADIR%%/doc/fig/small-edgat_delay.gif
+%%DATADIR%%/doc/fig/small-edgat_general.gif
+%%DATADIR%%/doc/fig/small-edgat_parameters.gif
+%%DATADIR%%/doc/fig/small-edgat_port.gif
+%%DATADIR%%/doc/fig/small-edgat_props.gif
+%%DATADIR%%/doc/fig/small-edportnet.gif
+%%DATADIR%%/doc/fig/small-gatwin.gif
+%%DATADIR%%/doc/fig/small-generalOpts.gif
+%%DATADIR%%/doc/fig/small-hdlOpts.gif
+%%DATADIR%%/doc/fig/small-hdl_main.gif
+%%DATADIR%%/doc/fig/small-htmlOpts.gif
+%%DATADIR%%/doc/fig/small-interfaceOpts.gif
+%%DATADIR%%/doc/fig/small-libmanager.gif
+%%DATADIR%%/doc/fig/small-librariesOpts.gif
+%%DATADIR%%/doc/fig/small-majortabs.gif
+%%DATADIR%%/doc/fig/small-mialu.gif
+%%DATADIR%%/doc/fig/small-miindex.gif
+%%DATADIR%%/doc/fig/small-miregs.gif
+%%DATADIR%%/doc/fig/small-modclaim.gif
+%%DATADIR%%/doc/fig/small-modcopy.gif
+%%DATADIR%%/doc/fig/small-modcreate.gif
+%%DATADIR%%/doc/fig/small-moddel.gif
+%%DATADIR%%/doc/fig/small-modgen.gif
+%%DATADIR%%/doc/fig/small-modnew.gif
+%%DATADIR%%/doc/fig/small-modprops.gif
+%%DATADIR%%/doc/fig/small-modrename.gif
+%%DATADIR%%/doc/fig/small-modsetroot.gif
+%%DATADIR%%/doc/fig/small-netprops.gif
+%%DATADIR%%/doc/fig/small-portparms.gif
+%%DATADIR%%/doc/fig/small-printOpts.gif
+%%DATADIR%%/doc/fig/small-printdlg_content.gif
+%%DATADIR%%/doc/fig/small-printdlg_output.gif
+%%DATADIR%%/doc/fig/small-scope.gif
+%%DATADIR%%/doc/fig/small-search.gif
+%%DATADIR%%/doc/fig/small-securityOpts.gif
+%%DATADIR%%/doc/fig/small-simcon_break.gif
+%%DATADIR%%/doc/fig/small-simcon_msg.gif
+%%DATADIR%%/doc/fig/small-simcon_opts.gif
+%%DATADIR%%/doc/fig/small-simcon_script.gif
+%%DATADIR%%/doc/fig/small-simerr.gif
+%%DATADIR%%/doc/fig/small-simprint.gif
+%%DATADIR%%/doc/fig/small-simulateOpts.gif
+%%DATADIR%%/doc/fig/small-toolbarOpts.gif
+%%DATADIR%%/doc/fig/small-ttywindow.gif
+%%DATADIR%%/doc/fig/small-xgate.gif
+%%DATADIR%%/doc/fig/small_tkgate.gif
+%%DATADIR%%/doc/fig/solder.gif
+%%DATADIR%%/doc/fig/ss_done.gif
+%%DATADIR%%/doc/fig/statusbar.gif
+%%DATADIR%%/doc/fig/symed_autobold.gif
+%%DATADIR%%/doc/fig/symed_bold.gif
+%%DATADIR%%/doc/fig/symed_ccwrotate.gif
+%%DATADIR%%/doc/fig/symed_cwrotate.gif
+%%DATADIR%%/doc/fig/symed_fillrect.gif
+%%DATADIR%%/doc/fig/symed_fillrect_S.gif
+%%DATADIR%%/doc/fig/symed_line.gif
+%%DATADIR%%/doc/fig/symed_line_S.gif
+%%DATADIR%%/doc/fig/symed_normal.gif
+%%DATADIR%%/doc/fig/symed_pccrot.gif
+%%DATADIR%%/doc/fig/symed_pcrot.gif
+%%DATADIR%%/doc/fig/symed_point.gif
+%%DATADIR%%/doc/fig/symed_point_S.gif
+%%DATADIR%%/doc/fig/symed_port.gif
+%%DATADIR%%/doc/fig/symed_port_S.gif
+%%DATADIR%%/doc/fig/symed_rect.gif
+%%DATADIR%%/doc/fig/symed_rect_S.gif
+%%DATADIR%%/doc/fig/symed_resize.gif
+%%DATADIR%%/doc/fig/symed_select.gif
+%%DATADIR%%/doc/fig/symed_select_S.gif
+%%DATADIR%%/doc/fig/text.gif
+%%DATADIR%%/doc/fig/tkgate.gif
+%%DATADIR%%/doc/fig/toolbarOpts.gif
+%%DATADIR%%/doc/fig/tty.gif
+%%DATADIR%%/doc/fig/ttywindow.gif
+%%DATADIR%%/doc/fig/txchar.gif
+%%DATADIR%%/doc/fig/unknown.gif
+%%DATADIR%%/doc/fig/unused.gif
+%%DATADIR%%/doc/fig/wiretype.gif
+%%DATADIR%%/doc/fig/wsize.gif
+%%DATADIR%%/doc/fig/xgate.gif
+%%DATADIR%%/doc/fig/xmark.gif
+%%DATADIR%%/doc/fig/zoom_in.gif
+%%DATADIR%%/doc/fig/zoom_out.gif
+%%DATADIR%%/doc/gateEdit.html
+%%DATADIR%%/doc/gateGmac.html
+%%DATADIR%%/doc/gateHDL.html
+%%DATADIR%%/doc/gateInterface.html
+%%DATADIR%%/doc/gateIntro.html
+%%DATADIR%%/doc/gateLibrary.html
+%%DATADIR%%/doc/gateModules.html
+%%DATADIR%%/doc/gateOptions.html
+%%DATADIR%%/doc/gateSim.html
+%%DATADIR%%/doc/gateTypeList.html
+%%DATADIR%%/doc/index.html
+%%DATADIR%%/doc/menagerie.gm
+%%DATADIR%%/doc/menagerie.html
+%%DATADIR%%/doc/menuList.html
+%%DATADIR%%/doc/popupmenu.html
+%%DATADIR%%/doc/simErrors.html
+%%DATADIR%%/doc/systemTasks.html
+%%DATADIR%%/doc/temp.html
+%%DATADIR%%/doc/tkgate.css
+%%DATADIR%%/doc/toolbarList.html
+%%DATADIR%%/doc/verga.html
+%%DATADIR%%/doc/vpdCreation.html
+%%DATADIR%%/gdf/default.gdf
+%%DATADIR%%/gdf/pat.gdf
+%%DATADIR%%/images/Ucat1.gif
+%%DATADIR%%/images/Ucat2.gif
+%%DATADIR%%/images/Ucat_asc.gif
+%%DATADIR%%/images/Ucat_auto.gif
+%%DATADIR%%/images/Ucat_dec.gif
+%%DATADIR%%/images/Ucat_multin.gif
+%%DATADIR%%/images/Ucat_singin.gif
+%%DATADIR%%/images/Ucat_tran.gif
+%%DATADIR%%/images/Ucrunchand.gif
+%%DATADIR%%/images/Udemuxord1.gif
+%%DATADIR%%/images/Udemuxord2.gif
+%%DATADIR%%/images/Udemuxsel1.gif
+%%DATADIR%%/images/Udemuxsel2.gif
+%%DATADIR%%/images/Uextbarand.gif
+%%DATADIR%%/images/Uff.gif
+%%DATADIR%%/images/Ujkff.gif
+%%DATADIR%%/images/Ujkff.xpm
+%%DATADIR%%/images/Uled_bar.gif
+%%DATADIR%%/images/Uled_bit.gif
+%%DATADIR%%/images/Uled_dec.gif
+%%DATADIR%%/images/Uled_direct.gif
+%%DATADIR%%/images/Uled_hex.gif
+%%DATADIR%%/images/Umuxord1.gif
+%%DATADIR%%/images/Umuxord2.gif
+%%DATADIR%%/images/Umuxsel1.gif
+%%DATADIR%%/images/Umuxsel2.gif
+%%DATADIR%%/images/Unwsdip.gif
+%%DATADIR%%/images/Unwsswitch.gif
+%%DATADIR%%/images/Uoffswitch.gif
+%%DATADIR%%/images/Uonswitch.gif
+%%DATADIR%%/images/Urff.gif
+%%DATADIR%%/images/Urjkff.gif
+%%DATADIR%%/images/Urjkff.xpm
+%%DATADIR%%/images/Uwsdip.gif
+%%DATADIR%%/images/Uwsswitch.gif
+%%DATADIR%%/images/about.gif
+%%DATADIR%%/images/about.xpm
+%%DATADIR%%/images/add.b
+%%DATADIR%%/images/addport.gif
+%%DATADIR%%/images/addport.xpm
+%%DATADIR%%/images/allleftarrow.b
+%%DATADIR%%/images/allleftarrow.gif
+%%DATADIR%%/images/allrightarrow.b
+%%DATADIR%%/images/allrightarrow.gif
+%%DATADIR%%/images/anallogo.gif
+%%DATADIR%%/images/analyze.gif
+%%DATADIR%%/images/anchor.gif
+%%DATADIR%%/images/anchor.xpm
+%%DATADIR%%/images/and.b
+%%DATADIR%%/images/arrow0.gif
+%%DATADIR%%/images/arrow0.xpm
+%%DATADIR%%/images/arrow180.gif
+%%DATADIR%%/images/arrow180.xpm
+%%DATADIR%%/images/arrow270.gif
+%%DATADIR%%/images/arrow270.xpm
+%%DATADIR%%/images/arrow90.gif
+%%DATADIR%%/images/arrow90.xpm
+%%DATADIR%%/images/arshift.b
+%%DATADIR%%/images/back.gif
+%%DATADIR%%/images/bat.b
+%%DATADIR%%/images/bigcircuit.gif
+%%DATADIR%%/images/bigcopyblock.gif
+%%DATADIR%%/images/bigcurs.b
+%%DATADIR%%/images/bigdelblock.gif
+%%DATADIR%%/images/bigdetails.gif
+%%DATADIR%%/images/biggatelogo.gif
+%%DATADIR%%/images/biggatelogo.xbm
+%%DATADIR%%/images/bigiface.gif
+%%DATADIR%%/images/bigleftarrow.b
+%%DATADIR%%/images/bigleftarrow.gif
+%%DATADIR%%/images/bigmodule.gif
+%%DATADIR%%/images/bignewblock.gif
+%%DATADIR%%/images/bigrightarrow.b
+%%DATADIR%%/images/bigrightarrow.gif
+%%DATADIR%%/images/bigtextedit.gif
+%%DATADIR%%/images/blk_claim.gif
+%%DATADIR%%/images/blk_claim.xpm
+%%DATADIR%%/images/blk_close.gif
+%%DATADIR%%/images/blk_close.xpm
+%%DATADIR%%/images/blk_copy.gif
+%%DATADIR%%/images/blk_copy.xpm
+%%DATADIR%%/images/blk_delete.gif
+%%DATADIR%%/images/blk_delete.xpm
+%%DATADIR%%/images/blk_new.gif
+%%DATADIR%%/images/blk_new.xpm
+%%DATADIR%%/images/blk_open.gif
+%%DATADIR%%/images/blk_open.xpm
+%%DATADIR%%/images/blk_rename.gif
+%%DATADIR%%/images/blk_rename.xpm
+%%DATADIR%%/images/blk_root.gif
+%%DATADIR%%/images/blk_root.xpm
+%%DATADIR%%/images/boxarrow.b
+%%DATADIR%%/images/bp_bad.gif
+%%DATADIR%%/images/bp_bad.xpm
+%%DATADIR%%/images/bp_disabled.gif
+%%DATADIR%%/images/bp_disabled.xpm
+%%DATADIR%%/images/bp_go.gif
+%%DATADIR%%/images/bp_go.xpm
+%%DATADIR%%/images/bp_standby.gif
+%%DATADIR%%/images/bp_standby.xpm
+%%DATADIR%%/images/bp_stop.gif
+%%DATADIR%%/images/bp_stop.xpm
+%%DATADIR%%/images/breakp_example.gif
+%%DATADIR%%/images/broken-img.gif
+%%DATADIR%%/images/broken-img.xpm
+%%DATADIR%%/images/buf.b
+%%DATADIR%%/images/bug.gif
+%%DATADIR%%/images/bug_curs.gif
+%%DATADIR%%/images/bug_curs.xpm
+%%DATADIR%%/images/bug_curs_S.gif
+%%DATADIR%%/images/bug_curs_S.xpm
+%%DATADIR%%/images/bus.b
+%%DATADIR%%/images/cat1.gif
+%%DATADIR%%/images/cat2.gif
+%%DATADIR%%/images/cat_asc.gif
+%%DATADIR%%/images/cat_auto.gif
+%%DATADIR%%/images/cat_dec.gif
+%%DATADIR%%/images/cat_multin.gif
+%%DATADIR%%/images/cat_singin.gif
+%%DATADIR%%/images/cat_tran.gif
+%%DATADIR%%/images/check_dn.gif
+%%DATADIR%%/images/check_up.gif
+%%DATADIR%%/images/checkoff.b
+%%DATADIR%%/images/checkoff.gif
+%%DATADIR%%/images/checkon.b
+%%DATADIR%%/images/checkon.gif
+%%DATADIR%%/images/checkon.xpm
+%%DATADIR%%/images/chip.gif
+%%DATADIR%%/images/circuit_prop.gif
+%%DATADIR%%/images/clock.b
+%%DATADIR%%/images/colorprops.gif
+%%DATADIR%%/images/concat.b
+%%DATADIR%%/images/conflict.gif
+%%DATADIR%%/images/connect_example.gif
+%%DATADIR%%/images/crunchand.gif
+%%DATADIR%%/images/cursor.config
+%%DATADIR%%/images/cut_curs.b
+%%DATADIR%%/images/cut_curs.gif
+%%DATADIR%%/images/cut_curs_S.gif
+%%DATADIR%%/images/cut_curs_S.xpm
+%%DATADIR%%/images/cwrotate.xpm
+%%DATADIR%%/images/dash.b
+%%DATADIR%%/images/decoder.b
+%%DATADIR%%/images/defaultSymbol.b
+%%DATADIR%%/images/defaultSymbolB.b
+%%DATADIR%%/images/del_curs.gif
+%%DATADIR%%/images/del_curs_S.gif
+%%DATADIR%%/images/del_curs_S.xpm
+%%DATADIR%%/images/delay.gif
+%%DATADIR%%/images/delgate.gif
+%%DATADIR%%/images/delgate.xpm
+%%DATADIR%%/images/demux.b
+%%DATADIR%%/images/demuxord1.gif
+%%DATADIR%%/images/demuxord2.gif
+%%DATADIR%%/images/demuxsel1.gif
+%%DATADIR%%/images/demuxsel2.gif
+%%DATADIR%%/images/details.gif
+%%DATADIR%%/images/dip.b
+%%DATADIR%%/images/directory.gif
+%%DATADIR%%/images/directory.xpm
+%%DATADIR%%/images/divide.b
+%%DATADIR%%/images/document.gif
+%%DATADIR%%/images/dot.b
+%%DATADIR%%/images/down.gif
+%%DATADIR%%/images/down.xpm
+%%DATADIR%%/images/edit_brotate.gif
+%%DATADIR%%/images/edit_copy.gif
+%%DATADIR%%/images/edit_cut.gif
+%%DATADIR%%/images/edit_find.gif
+%%DATADIR%%/images/edit_halgn.gif
+%%DATADIR%%/images/edit_overlay.gif
+%%DATADIR%%/images/edit_overlay.xpm
+%%DATADIR%%/images/edit_paste.gif
+%%DATADIR%%/images/edit_rotate.gif
+%%DATADIR%%/images/edit_valgn.gif
+%%DATADIR%%/images/editintr.gif
+%%DATADIR%%/images/editintr.xpm
+%%DATADIR%%/images/editmode.gif
+%%DATADIR%%/images/editmode.xpm
+%%DATADIR%%/images/emptytool.gif
+%%DATADIR%%/images/err_arrow.gif
+%%DATADIR%%/images/err_arrow.xpm
+%%DATADIR%%/images/err_none.gif
+%%DATADIR%%/images/err_none.xpm
+%%DATADIR%%/images/example_bindings.gif
+%%DATADIR%%/images/example_coke.gif
+%%DATADIR%%/images/example_combinational.gif
+%%DATADIR%%/images/example_counter.gif
+%%DATADIR%%/images/example_flipflop.gif
+%%DATADIR%%/images/example_menagerie.gif
+%%DATADIR%%/images/example_name.gif
+%%DATADIR%%/images/example_trff.gif
+%%DATADIR%%/images/extbarand.gif
+%%DATADIR%%/images/ff.gif
+%%DATADIR%%/images/ff.xpm
+%%DATADIR%%/images/file_lib.gif
+%%DATADIR%%/images/file_new.gif
+%%DATADIR%%/images/file_open.gif
+%%DATADIR%%/images/file_print.gif
+%%DATADIR%%/images/file_save.gif
+%%DATADIR%%/images/file_saveas.gif
+%%DATADIR%%/images/file_unlib.gif
+%%DATADIR%%/images/file_unlib.xpm
+%%DATADIR%%/images/flipflop.b
+%%DATADIR%%/images/forward.gif
+%%DATADIR%%/images/gateicon.xbm
+%%DATADIR%%/images/gatelogo.gif
+%%DATADIR%%/images/gateprops.gif
+%%DATADIR%%/images/general.gif
+%%DATADIR%%/images/gnuhead.gif
+%%DATADIR%%/images/gnuhead.png
+%%DATADIR%%/images/go_indicator.gif
+%%DATADIR%%/images/go_indicator.xpm
+%%DATADIR%%/images/ground.b
+%%DATADIR%%/images/hboxopen.gif
+%%DATADIR%%/images/helpdoc.gif
+%%DATADIR%%/images/helpdoc.xpm
+%%DATADIR%%/images/i_circprops.gif
+%%DATADIR%%/images/i_circprops.xpm
+%%DATADIR%%/images/i_gateprops.gif
+%%DATADIR%%/images/i_gateprops.xpm
+%%DATADIR%%/images/i_modprops.gif
+%%DATADIR%%/images/i_modprops.xpm
+%%DATADIR%%/images/i_portprops.gif
+%%DATADIR%%/images/i_portprops.xpm
+%%DATADIR%%/images/i_wireprops.gif
+%%DATADIR%%/images/i_wireprops.xpm
+%%DATADIR%%/images/ichip.gif
+%%DATADIR%%/images/ichipdir.gif
+%%DATADIR%%/images/iface.gif
+%%DATADIR%%/images/igen_custom.gif
+%%DATADIR%%/images/igen_customUS.gif
+%%DATADIR%%/images/igen_std.gif
+%%DATADIR%%/images/igen_stdUS.gif
+%%DATADIR%%/images/inout.b
+%%DATADIR%%/images/input.gif
+%%DATADIR%%/images/inv_curs.b
+%%DATADIR%%/images/inv_curs.gif
+%%DATADIR%%/images/inv_curs_S.gif
+%%DATADIR%%/images/inv_curs_S.xpm
+%%DATADIR%%/images/iodarrow.b
+%%DATADIR%%/images/ipanel_Ublock.gif
+%%DATADIR%%/images/ipanel_Ublock.xpm
+%%DATADIR%%/images/ipanel_Usymbol.gif
+%%DATADIR%%/images/ipanel_Usymbol.xpm
+%%DATADIR%%/images/ipanel_block.gif
+%%DATADIR%%/images/ipanel_block.xpm
+%%DATADIR%%/images/ipanel_symbol.gif
+%%DATADIR%%/images/ipanel_symbol.xpm
+%%DATADIR%%/images/jgrab.gif
+%%DATADIR%%/images/jinout.gif
+%%DATADIR%%/images/jinput.gif
+%%DATADIR%%/images/jkff.b
+%%DATADIR%%/images/jkff.gif
+%%DATADIR%%/images/jkff.xpm
+%%DATADIR%%/images/joint.b
+%%DATADIR%%/images/joutput.gif
+%%DATADIR%%/images/lab_curs.b
+%%DATADIR%%/images/large-trek.b
+%%DATADIR%%/images/led.b
+%%DATADIR%%/images/led7seg.b
+%%DATADIR%%/images/led_bar.gif
+%%DATADIR%%/images/led_bit.gif
+%%DATADIR%%/images/led_dec.gif
+%%DATADIR%%/images/led_direct.gif
+%%DATADIR%%/images/led_hex.gif
+%%DATADIR%%/images/ledinside.b
+%%DATADIR%%/images/ledseg1.b
+%%DATADIR%%/images/ledseg2.b
+%%DATADIR%%/images/ledseg3.b
+%%DATADIR%%/images/ledseg4.b
+%%DATADIR%%/images/ledseg5.b
+%%DATADIR%%/images/ledseg6.b
+%%DATADIR%%/images/ledseg7.b
+%%DATADIR%%/images/leftarrow.gif
+%%DATADIR%%/images/lockDmod.gif
+%%DATADIR%%/images/lockIDmod.gif
+%%DATADIR%%/images/lockImod.gif
+%%DATADIR%%/images/log.gif
+%%DATADIR%%/images/log.xpm
+%%DATADIR%%/images/lprobe.b
+%%DATADIR%%/images/makejoint_example.gif
+%%DATADIR%%/images/mark.gif
+%%DATADIR%%/images/memory_view.gif
+%%DATADIR%%/images/memory_view.png
+%%DATADIR%%/images/misc.b
+%%DATADIR%%/images/mod_hdl.gif
+%%DATADIR%%/images/mod_hdl.xpm
+%%DATADIR%%/images/mod_hdlL.gif
+%%DATADIR%%/images/mod_hdlL.xpm
+%%DATADIR%%/images/mod_net.gif
+%%DATADIR%%/images/mod_netL.gif
+%%DATADIR%%/images/modlist.gif
+%%DATADIR%%/images/modlistfig.gif
+%%DATADIR%%/images/modtree.gif
+%%DATADIR%%/images/module.gif
+%%DATADIR%%/images/module.xpm
+%%DATADIR%%/images/module_claim.gif
+%%DATADIR%%/images/module_copy.gif
+%%DATADIR%%/images/module_del.gif
+%%DATADIR%%/images/module_new.gif
+%%DATADIR%%/images/module_rename.gif
+%%DATADIR%%/images/module_root.gif
+%%DATADIR%%/images/moduleprops.gif
+%%DATADIR%%/images/mov_curs.b
+%%DATADIR%%/images/mov_curs.gif
+%%DATADIR%%/images/mov_curs_S.gif
+%%DATADIR%%/images/mov_curs_S.xpm
+%%DATADIR%%/images/movtext_curs.b
+%%DATADIR%%/images/movtext_curs.cur
+%%DATADIR%%/images/movtext_curs.png
+%%DATADIR%%/images/movtext_curs.xcur
+%%DATADIR%%/images/movtext_mask.b
+%%DATADIR%%/images/movtext_mask.png
+%%DATADIR%%/images/movtext_mask.xcur
+%%DATADIR%%/images/mult.b
+%%DATADIR%%/images/mux.b
+%%DATADIR%%/images/muxord1.gif
+%%DATADIR%%/images/muxord2.gif
+%%DATADIR%%/images/muxsel1.gif
+%%DATADIR%%/images/muxsel2.gif
+%%DATADIR%%/images/net.xpm
+%%DATADIR%%/images/net_hwire.gif
+%%DATADIR%%/images/net_hwire2.gif
+%%DATADIR%%/images/net_probe.gif
+%%DATADIR%%/images/net_probe.xpm
+%%DATADIR%%/images/net_probe2.gif
+%%DATADIR%%/images/net_probe2.xpm
+%%DATADIR%%/images/net_reg.gif
+%%DATADIR%%/images/net_reg.xpm
+%%DATADIR%%/images/net_reg2.gif
+%%DATADIR%%/images/net_reg2.xpm
+%%DATADIR%%/images/net_wire.gif
+%%DATADIR%%/images/net_wire2.gif
+%%DATADIR%%/images/netprops.gif
+%%DATADIR%%/images/newcircuit.gif
+%%DATADIR%%/images/nmos.b
+%%DATADIR%%/images/nwsdip.gif
+%%DATADIR%%/images/nwsswitch.gif
+%%DATADIR%%/images/offswitch.gif
+%%DATADIR%%/images/old-tty.b
+%%DATADIR%%/images/oldrom.b
+%%DATADIR%%/images/oldzoom_in.gif
+%%DATADIR%%/images/onswitch.gif
+%%DATADIR%%/images/or.b
+%%DATADIR%%/images/output.gif
+%%DATADIR%%/images/output.xpm
+%%DATADIR%%/images/parts.gif
+%%DATADIR%%/images/pause_indicator.gif
+%%DATADIR%%/images/pause_indicator.xpm
+%%DATADIR%%/images/pinout.gif
+%%DATADIR%%/images/pinout.xpm
+%%DATADIR%%/images/plus.b
+%%DATADIR%%/images/pmos.b
+%%DATADIR%%/images/port.gif
+%%DATADIR%%/images/port_in1.gif
+%%DATADIR%%/images/port_in1.xpm
+%%DATADIR%%/images/port_in2.gif
+%%DATADIR%%/images/port_in2.xpm
+%%DATADIR%%/images/port_inout1.gif
+%%DATADIR%%/images/port_inout1.xpm
+%%DATADIR%%/images/port_inout2.gif
+%%DATADIR%%/images/port_inout2.xpm
+%%DATADIR%%/images/port_out1.gif
+%%DATADIR%%/images/port_out1.xpm
+%%DATADIR%%/images/port_out2.gif
+%%DATADIR%%/images/port_out2.xpm
+%%DATADIR%%/images/portcurs.b
+%%DATADIR%%/images/portrait.gif
+%%DATADIR%%/images/printer.gif
+%%DATADIR%%/images/probe.b
+%%DATADIR%%/images/ram.b
+%%DATADIR%%/images/reg.b
+%%DATADIR%%/images/regLR.b
+%%DATADIR%%/images/regTB.b
+%%DATADIR%%/images/replicate.gif
+%%DATADIR%%/images/replicate.xpm
+%%DATADIR%%/images/rff.gif
+%%DATADIR%%/images/rff.xpm
+%%DATADIR%%/images/rflipflop.b
+%%DATADIR%%/images/rightarrow.gif
+%%DATADIR%%/images/rjkff.b
+%%DATADIR%%/images/rjkff.gif
+%%DATADIR%%/images/rjkff.xpm
+%%DATADIR%%/images/roll.b
+%%DATADIR%%/images/rom.b
+%%DATADIR%%/images/rotation0.gif
+%%DATADIR%%/images/rotation0_S.gif
+%%DATADIR%%/images/rotation0_S.xpm
+%%DATADIR%%/images/rotation180.gif
+%%DATADIR%%/images/rotation180_S.gif
+%%DATADIR%%/images/rotation180_S.xpm
+%%DATADIR%%/images/rotation270.gif
+%%DATADIR%%/images/rotation270_S.gif
+%%DATADIR%%/images/rotation270_S.xpm
+%%DATADIR%%/images/rotation90.gif
+%%DATADIR%%/images/rotation90_S.gif
+%%DATADIR%%/images/rotation90_S.xpm
+%%DATADIR%%/images/run.gif
+%%DATADIR%%/images/run01.gif
+%%DATADIR%%/images/run02.gif
+%%DATADIR%%/images/run03.gif
+%%DATADIR%%/images/run04.gif
+%%DATADIR%%/images/run05.gif
+%%DATADIR%%/images/run06.gif
+%%DATADIR%%/images/run07.gif
+%%DATADIR%%/images/run08.gif
+%%DATADIR%%/images/run09.gif
+%%DATADIR%%/images/run10.gif
+%%DATADIR%%/images/run11.gif
+%%DATADIR%%/images/run12.gif
+%%DATADIR%%/images/run13.gif
+%%DATADIR%%/images/run14.gif
+%%DATADIR%%/images/run15.gif
+%%DATADIR%%/images/run16.gif
+%%DATADIR%%/images/run17.gif
+%%DATADIR%%/images/run18.gif
+%%DATADIR%%/images/run19.gif
+%%DATADIR%%/images/run20.gif
+%%DATADIR%%/images/run21.gif
+%%DATADIR%%/images/run22.gif
+%%DATADIR%%/images/run23.gif
+%%DATADIR%%/images/run24.gif
+%%DATADIR%%/images/run25.gif
+%%DATADIR%%/images/run26.gif
+%%DATADIR%%/images/run27.gif
+%%DATADIR%%/images/run28.gif
+%%DATADIR%%/images/run29.gif
+%%DATADIR%%/images/run30.gif
+%%DATADIR%%/images/run31.gif
+%%DATADIR%%/images/run32.gif
+%%DATADIR%%/images/run33.gif
+%%DATADIR%%/images/run34.gif
+%%DATADIR%%/images/run35.gif
+%%DATADIR%%/images/run36.gif
+%%DATADIR%%/images/run37.gif
+%%DATADIR%%/images/run38.gif
+%%DATADIR%%/images/run39.gif
+%%DATADIR%%/images/run40.gif
+%%DATADIR%%/images/run41.gif
+%%DATADIR%%/images/run42.gif
+%%DATADIR%%/images/run43.gif
+%%DATADIR%%/images/run44.gif
+%%DATADIR%%/images/run45.gif
+%%DATADIR%%/images/run46.gif
+%%DATADIR%%/images/run47.gif
+%%DATADIR%%/images/run48.gif
+%%DATADIR%%/images/run49.gif
+%%DATADIR%%/images/run50.gif
+%%DATADIR%%/images/sampleand.b
+%%DATADIR%%/images/sampleled.b
+%%DATADIR%%/images/sampleledI.b
+%%DATADIR%%/images/samplemark.b
+%%DATADIR%%/images/script.b
+%%DATADIR%%/images/scroll.b
+%%DATADIR%%/images/scroll_curs.b
+%%DATADIR%%/images/scroll_curs.gif
+%%DATADIR%%/images/search.gif
+%%DATADIR%%/images/seg7.b
+%%DATADIR%%/images/setdip.gif
+%%DATADIR%%/images/shift.b
+%%DATADIR%%/images/show_xhair.gif
+%%DATADIR%%/images/sim_break.gif
+%%DATADIR%%/images/sim_break2.b
+%%DATADIR%%/images/sim_clock.gif
+%%DATADIR%%/images/sim_dump.gif
+%%DATADIR%%/images/sim_go.gif
+%%DATADIR%%/images/sim_go.xpm
+%%DATADIR%%/images/sim_load.gif
+%%DATADIR%%/images/sim_pause.gif
+%%DATADIR%%/images/sim_pause.xpm
+%%DATADIR%%/images/sim_script.gif
+%%DATADIR%%/images/sim_step.gif
+%%DATADIR%%/images/sim_stop.b
+%%DATADIR%%/images/sim_stop.gif
+%%DATADIR%%/images/sim_view.gif
+%%DATADIR%%/images/sim_view.xpm
+%%DATADIR%%/images/simoptions.gif
+%%DATADIR%%/images/simoptions.xpm
+%%DATADIR%%/images/simstart.gif
+%%DATADIR%%/images/simulate.gif
+%%DATADIR%%/images/size_curs.b
+%%DATADIR%%/images/size_curs.gif
+%%DATADIR%%/images/size_curs_S.gif
+%%DATADIR%%/images/size_curs_S.xpm
+%%DATADIR%%/images/size_example.gif
+%%DATADIR%%/images/smallcurs.b
+%%DATADIR%%/images/smalldot.b
+%%DATADIR%%/images/smalllogo.xbm
+%%DATADIR%%/images/solder.gif
+%%DATADIR%%/images/ss_done.gif
+%%DATADIR%%/images/ss_done.xpm
+%%DATADIR%%/images/strek.b
+%%DATADIR%%/images/switch.b
+%%DATADIR%%/images/symed_autobold.gif
+%%DATADIR%%/images/symed_autobold.xpm
+%%DATADIR%%/images/symed_bold.gif
+%%DATADIR%%/images/symed_ccwrotate.gif
+%%DATADIR%%/images/symed_ccwrotate.xpm
+%%DATADIR%%/images/symed_cwrotate.gif
+%%DATADIR%%/images/symed_cwrotate.xpm
+%%DATADIR%%/images/symed_export.gif
+%%DATADIR%%/images/symed_export.xpm
+%%DATADIR%%/images/symed_fillrect.gif
+%%DATADIR%%/images/symed_fillrect.xpm
+%%DATADIR%%/images/symed_fillrect_S.gif
+%%DATADIR%%/images/symed_fillrect_S.xpm
+%%DATADIR%%/images/symed_import.gif
+%%DATADIR%%/images/symed_import.xpm
+%%DATADIR%%/images/symed_line.gif
+%%DATADIR%%/images/symed_line.xpm
+%%DATADIR%%/images/symed_line_S.gif
+%%DATADIR%%/images/symed_line_S.xpm
+%%DATADIR%%/images/symed_normal.gif
+%%DATADIR%%/images/symed_normal.xpm
+%%DATADIR%%/images/symed_pccrot.gif
+%%DATADIR%%/images/symed_pccrot.xpm
+%%DATADIR%%/images/symed_pcrot.gif
+%%DATADIR%%/images/symed_pcrot.xpm
+%%DATADIR%%/images/symed_point.gif

*** DIFF OUTPUT TRUNCATED AT 1000 LINES ***



Want to link to this message? Use this URL: <https://mail-archive.FreeBSD.org/cgi/mid.cgi?201506241423.t5OENFoH075991>