From owner-freebsd-hackers@FreeBSD.ORG Thu Aug 19 14:06:36 2010 Return-Path: Delivered-To: freebsd-hackers@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:4f8:fff6::34]) by hub.freebsd.org (Postfix) with ESMTP id 10D13106566B for ; Thu, 19 Aug 2010 14:06:36 +0000 (UTC) (envelope-from ray@dlink.ua) Received: from dlink.ua (smtp.dlink.ua [193.138.187.146]) by mx1.freebsd.org (Postfix) with ESMTP id C5DE28FC15 for ; Thu, 19 Aug 2010 14:06:35 +0000 (UTC) Received: from gw ([192.168.10.10] helo=terran) by dlink.ua with esmtpsa (TLS-1.0:DHE_RSA_AES_256_CBC_SHA1:32) (Exim 4.63) (envelope-from ) id 1Om5lK-0001LY-Ck; Thu, 19 Aug 2010 17:06:34 +0300 Date: Thu, 19 Aug 2010 17:06:43 +0300 From: Alexandr Rybalko To: John Baldwin Message-Id: <20100819170643.38362078.ray@dlink.ua> In-Reply-To: <201008190918.46947.jhb@freebsd.org> References: <20100819153805.7d60302e.ray@dlink.ua> <201008190918.46947.jhb@freebsd.org> Organization: D-Link X-Mailer: Sylpheed 2.7.1 (GTK+ 2.20.1; i386-portbld-freebsd8.0) Mime-Version: 1.0 Content-Type: text/plain; charset=US-ASCII Content-Transfer-Encoding: 7bit Cc: freebsd-hackers@freebsd.org Subject: Re: Modules and Buses X-BeenThere: freebsd-hackers@freebsd.org X-Mailman-Version: 2.1.5 Precedence: list List-Id: Technical Discussions relating to FreeBSD List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Thu, 19 Aug 2010 14:06:36 -0000 On Thu, 19 Aug 2010 09:18:46 -0400 John Baldwin wrote: >> On Thursday, August 19, 2010 8:38:05 am Alexandr Rybalko wrote: >> > Hi all, >> > >> > Can someone say, how `make` in sys/modules dir can obtain available buses. >> > I try to make clean version of bfe, that can be for PCI bus or can be part >> of SoC (like BCM5354) on SSB bus. >> > So for proper module building I need to know what bus interface I must build >> if_bfe_pci.c, or if_bfe_siba.c, or both? >> >> You can always include both buses. If a bus driver isn't present in the >> kernel the attachment will just never be invoked. I was afraid of such response. Now I have to rewrite siba implementation to newbus :) Thanks you for answer! >> >> -- >> John Baldwin -- Alexandr Rybalko aka Alex RAY