From owner-cvs-src-old@FreeBSD.ORG Tue Dec 1 05:08:33 2009 Return-Path: Delivered-To: cvs-src-old@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:4f8:fff6::34]) by hub.freebsd.org (Postfix) with ESMTP id 83FC71067586 for ; Tue, 1 Dec 2009 05:08:15 +0000 (UTC) (envelope-from green@FreeBSD.org) Received: from repoman.freebsd.org (repoman.freebsd.org [IPv6:2001:4f8:fff6::29]) by mx1.freebsd.org (Postfix) with ESMTP id 720E78FC13 for ; Tue, 1 Dec 2009 05:08:15 +0000 (UTC) Received: from repoman.freebsd.org (localhost [127.0.0.1]) by repoman.freebsd.org (8.14.3/8.14.3) with ESMTP id nB158FQ7081927 for ; Tue, 1 Dec 2009 05:08:15 GMT (envelope-from green@repoman.freebsd.org) Received: (from svn2cvs@localhost) by repoman.freebsd.org (8.14.3/8.14.3/Submit) id nB158FFr081926 for cvs-src-old@freebsd.org; Tue, 1 Dec 2009 05:08:15 GMT (envelope-from green@repoman.freebsd.org) Message-Id: <200912010508.nB158FFr081926@repoman.freebsd.org> X-Authentication-Warning: repoman.freebsd.org: svn2cvs set sender to green@repoman.freebsd.org using -f From: Brian Feldman Date: Tue, 1 Dec 2009 05:04:31 +0000 (UTC) To: cvs-src-old@freebsd.org X-FreeBSD-CVS-Branch: HEAD Subject: cvs commit: src/lib/libc/stdlib getenv.c src/tools/regression/environ Makefile.envctl envctl.c envtest.t X-BeenThere: cvs-src-old@freebsd.org X-Mailman-Version: 2.1.5 Precedence: list List-Id: **OBSOLETE** CVS commit messages for the src tree List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Tue, 01 Dec 2009 05:08:33 -0000 green 2009-12-01 05:04:31 UTC FreeBSD src repository Modified files: lib/libc/stdlib getenv.c tools/regression/environ Makefile.envctl envctl.c envtest.t Log: SVN rev 199983 on 2009-12-01 05:04:31Z by green Do not gratuitously fail *env(3) operations due to corrupt ('='-less) **environ entries. This puts non-getenv(3) operations in line with getenv(3) in that bad environ entries do not cause all operations to fail. There is still some inconsistency in that getenv(3) in the absence of any environment-modifying operation does not emit corrupt environ entry warnings. I also fixed another inconsistency in getenv(3) where updating the global environ pointer would not be reflected in the return values. It would have taken an intermediary setenv(3)/putenv(3)/unsetenv(3) in order to see the change. Revision Changes Path 1.16 +36 -28 src/lib/libc/stdlib/getenv.c 1.2 +1 -1 src/tools/regression/environ/Makefile.envctl 1.7 +29 -2 src/tools/regression/environ/envctl.c 1.5 +15 -0 src/tools/regression/environ/envtest.t