From owner-svn-ports-head@freebsd.org Wed Jun 26 14:23:48 2019 Return-Path: Delivered-To: svn-ports-head@mailman.ysv.freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2610:1c1:1:606c::19:1]) by mailman.ysv.freebsd.org (Postfix) with ESMTP id DDD5015C9976; Wed, 26 Jun 2019 14:23:47 +0000 (UTC) (envelope-from manu@FreeBSD.org) Received: from mxrelay.nyi.freebsd.org (mxrelay.nyi.freebsd.org [IPv6:2610:1c1:1:606c::19:3]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) server-signature RSA-PSS (4096 bits) client-signature RSA-PSS (4096 bits) client-digest SHA256) (Client CN "mxrelay.nyi.freebsd.org", Issuer "Let's Encrypt Authority X3" (verified OK)) by mx1.freebsd.org (Postfix) with ESMTPS id 8630F6FC8A; Wed, 26 Jun 2019 14:23:47 +0000 (UTC) (envelope-from manu@FreeBSD.org) Received: from repo.freebsd.org (repo.freebsd.org [IPv6:2610:1c1:1:6068::e6a:0]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (Client did not present a certificate) by mxrelay.nyi.freebsd.org (Postfix) with ESMTPS id 5CE82DE5; Wed, 26 Jun 2019 14:23:47 +0000 (UTC) (envelope-from manu@FreeBSD.org) Received: from repo.freebsd.org ([127.0.1.37]) by repo.freebsd.org (8.15.2/8.15.2) with ESMTP id x5QENlUl082752; Wed, 26 Jun 2019 14:23:47 GMT (envelope-from manu@FreeBSD.org) Received: (from manu@localhost) by repo.freebsd.org (8.15.2/8.15.2/Submit) id x5QENkBU082746; Wed, 26 Jun 2019 14:23:46 GMT (envelope-from manu@FreeBSD.org) Message-Id: <201906261423.x5QENkBU082746@repo.freebsd.org> X-Authentication-Warning: repo.freebsd.org: manu set sender to manu@FreeBSD.org using -f From: Emmanuel Vadot Date: Wed, 26 Jun 2019 14:23:46 +0000 (UTC) To: ports-committers@freebsd.org, svn-ports-all@freebsd.org, svn-ports-head@freebsd.org Subject: svn commit: r505158 - in head/devel: . trellis trellis/files X-SVN-Group: ports-head X-SVN-Commit-Author: manu X-SVN-Commit-Paths: in head/devel: . trellis trellis/files X-SVN-Commit-Revision: 505158 X-SVN-Commit-Repository: ports MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit X-Rspamd-Queue-Id: 8630F6FC8A X-Spamd-Bar: -- Authentication-Results: mx1.freebsd.org X-Spamd-Result: default: False [-2.94 / 15.00]; local_wl_from(0.00)[FreeBSD.org]; NEURAL_HAM_MEDIUM(-1.00)[-0.999,0]; NEURAL_HAM_LONG(-1.00)[-1.000,0]; NEURAL_HAM_SHORT(-0.94)[-0.943,0]; ASN(0.00)[asn:11403, ipnet:2610:1c1:1::/48, country:US] X-BeenThere: svn-ports-head@freebsd.org X-Mailman-Version: 2.1.29 Precedence: list List-Id: SVN commit messages for the ports tree for head List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Wed, 26 Jun 2019 14:23:48 -0000 Author: manu Date: Wed Jun 26 14:23:46 2019 New Revision: 505158 URL: https://svnweb.freebsd.org/changeset/ports/505158 Log: devel/trellis: Add new port Project trellis document the bitstream for Lattice ECP5 FPGAs. Used with yosys and nextpnr it can create a full bitstream with only open source tools. Added: head/devel/trellis/ head/devel/trellis/Makefile (contents, props changed) head/devel/trellis/distinfo (contents, props changed) head/devel/trellis/files/ head/devel/trellis/files/patch-libtrellis_CMakeLists.txt (contents, props changed) head/devel/trellis/pkg-descr (contents, props changed) head/devel/trellis/pkg-plist (contents, props changed) Modified: head/devel/Makefile Modified: head/devel/Makefile ============================================================================== --- head/devel/Makefile Wed Jun 26 14:22:44 2019 (r505157) +++ head/devel/Makefile Wed Jun 26 14:23:46 2019 (r505158) @@ -6183,6 +6183,7 @@ SUBDIR += trac-bitten SUBDIR += tradcpp SUBDIR += treepy.el + SUBDIR += trellis SUBDIR += trio SUBDIR += truc SUBDIR += ua_parser-core Added: head/devel/trellis/Makefile ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ head/devel/trellis/Makefile Wed Jun 26 14:23:46 2019 (r505158) @@ -0,0 +1,37 @@ +# $FreeBSD$ + +PORTNAME= trellis +PORTVERSION= g20190422 +CATEGORIES= devel + +MAINTAINER= manu@FreeBSD.Org +COMMENT= Documenting the Lattice ECP5 bit-stream format + +LICENSE= ISCL +LICENSE_FILE= ${WRKSRC}/COPYING + +LIB_DEPENDS= libftdi1.so:devel/libftdi1 \ + libboost_atomic.so:devel/boost-libs \ + libboost_chrono.so:devel/boost-libs \ + libboost_date_time.so:devel/boost-libs \ + libboost_filesystem.so:devel/boost-libs \ + libboost_program_options.so:devel/boost-libs \ + libboost_python${PYTHON_SUFFIX}.so:devel/boost-python-libs \ + libboost_thread.so:devel/boost-libs + +USES= compiler:c++14-lang cmake python:3.5+ shebangfix + +SHEBANG_FILES= ${WRKSRC}/timing/util/cell_html.py \ + ${WRKSRC}/timing/util/cell_timings.py + +USE_LDCONFIG= yes + +USE_GITHUB= yes +GH_ACCOUNT= SymbiFlow +GH_PROJECT= prjtrellis +GH_TAGNAME= 5eb0ad87 +GH_TUPLE= SymbiFlow:prjtrellis-db:d0b219af:database/database + +CMAKE_SOURCE_PATH= ${WRKSRC}/libtrellis + +.include Added: head/devel/trellis/distinfo ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ head/devel/trellis/distinfo Wed Jun 26 14:23:46 2019 (r505158) @@ -0,0 +1,5 @@ +TIMESTAMP = 1559407512 +SHA256 (SymbiFlow-prjtrellis-g20190422-5eb0ad87_GH0.tar.gz) = e9611f0d3516048acd49e8f2228d13775a7fff14e41a8cb92c0e01918b3c10ff +SIZE (SymbiFlow-prjtrellis-g20190422-5eb0ad87_GH0.tar.gz) = 359933 +SHA256 (SymbiFlow-prjtrellis-db-d0b219af_GH0.tar.gz) = 88c94d6bf74f4156f07bf09f8c207f6e237e2583cca655ffd1e0ce3afd89dc16 +SIZE (SymbiFlow-prjtrellis-db-d0b219af_GH0.tar.gz) = 2361070 Added: head/devel/trellis/files/patch-libtrellis_CMakeLists.txt ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ head/devel/trellis/files/patch-libtrellis_CMakeLists.txt Wed Jun 26 14:23:46 2019 (r505158) @@ -0,0 +1,11 @@ +--- libtrellis/CMakeLists.txt.orig 2019-06-03 10:33:16 UTC ++++ libtrellis/CMakeLists.txt +@@ -109,7 +109,7 @@ endif() + find_package(Boost REQUIRED COMPONENTS program_options) + + get_property(LIB64 GLOBAL PROPERTY FIND_LIBRARY_USE_LIB64_PATHS) +-if (NOT APPLE AND "${LIB64}" STREQUAL "TRUE") ++if (NOT APPLE AND "${LIB64}" STREQUAL "TRUE" AND NOT "${CMAKE_SYSTEM_NAME}" STREQUAL "FreeBSD") + set(LIBDIR "lib64") + else() + set(LIBDIR "lib") Added: head/devel/trellis/pkg-descr ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ head/devel/trellis/pkg-descr Wed Jun 26 14:23:46 2019 (r505158) @@ -0,0 +1,6 @@ +Project Trellis enables a fully open-source flow for ECP5 FPGAs +using Yosys for Verilog synthesis and nextpnr for place and route. +Project Trellis itself provides the device database and tools for +bitstream creation. + +WWW: https://github.com/SymbiFlow/prjtrellis Added: head/devel/trellis/pkg-plist ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ head/devel/trellis/pkg-plist Wed Jun 26 14:23:46 2019 (r505158) @@ -0,0 +1,264 @@ +bin/ecpmulti +bin/ecppack +bin/ecppll +bin/ecpunpack +lib/trellis/libtrellis.so +lib/trellis/pytrellis.so +%%DATADIR%%/database/.gitignore +%%DATADIR%%/database/COPYING +%%DATADIR%%/database/ECP5/LFE5U-25F/globals.json +%%DATADIR%%/database/ECP5/LFE5U-25F/iodb.json +%%DATADIR%%/database/ECP5/LFE5U-25F/tilegrid.json +%%DATADIR%%/database/ECP5/LFE5U-45F/globals.json +%%DATADIR%%/database/ECP5/LFE5U-45F/iodb.json +%%DATADIR%%/database/ECP5/LFE5U-45F/tilegrid.json +%%DATADIR%%/database/ECP5/LFE5U-85F/globals.json +%%DATADIR%%/database/ECP5/LFE5U-85F/iodb.json +%%DATADIR%%/database/ECP5/LFE5U-85F/tilegrid.json +%%DATADIR%%/database/ECP5/LFE5UM-25F/globals.json +%%DATADIR%%/database/ECP5/LFE5UM-25F/iodb.json +%%DATADIR%%/database/ECP5/LFE5UM-25F/tilegrid.json +%%DATADIR%%/database/ECP5/LFE5UM-45F/globals.json +%%DATADIR%%/database/ECP5/LFE5UM-45F/iodb.json +%%DATADIR%%/database/ECP5/LFE5UM-45F/tilegrid.json +%%DATADIR%%/database/ECP5/LFE5UM-85F/globals.json +%%DATADIR%%/database/ECP5/LFE5UM-85F/iodb.json +%%DATADIR%%/database/ECP5/LFE5UM-85F/tilegrid.json +%%DATADIR%%/database/ECP5/LFE5UM5G-25F/globals.json +%%DATADIR%%/database/ECP5/LFE5UM5G-25F/iodb.json +%%DATADIR%%/database/ECP5/LFE5UM5G-25F/tilegrid.json +%%DATADIR%%/database/ECP5/LFE5UM5G-45F/globals.json +%%DATADIR%%/database/ECP5/LFE5UM5G-45F/iodb.json +%%DATADIR%%/database/ECP5/LFE5UM5G-45F/tilegrid.json +%%DATADIR%%/database/ECP5/LFE5UM5G-85F/globals.json +%%DATADIR%%/database/ECP5/LFE5UM5G-85F/iodb.json +%%DATADIR%%/database/ECP5/LFE5UM5G-85F/tilegrid.json +%%DATADIR%%/database/ECP5/tiledata/BANKREF0/bits.db +%%DATADIR%%/database/ECP5/tiledata/BANKREF1/bits.db +%%DATADIR%%/database/ECP5/tiledata/BANKREF2/bits.db +%%DATADIR%%/database/ECP5/tiledata/BANKREF2A/bits.db +%%DATADIR%%/database/ECP5/tiledata/BANKREF3/bits.db +%%DATADIR%%/database/ECP5/tiledata/BANKREF4/bits.db +%%DATADIR%%/database/ECP5/tiledata/BANKREF6/bits.db +%%DATADIR%%/database/ECP5/tiledata/BANKREF7/bits.db +%%DATADIR%%/database/ECP5/tiledata/BANKREF7A/bits.db +%%DATADIR%%/database/ECP5/tiledata/BANKREF8/bits.db +%%DATADIR%%/database/ECP5/tiledata/BMID_0H/bits.db +%%DATADIR%%/database/ECP5/tiledata/BMID_0V/bits.db +%%DATADIR%%/database/ECP5/tiledata/BMID_2/bits.db +%%DATADIR%%/database/ECP5/tiledata/BMID_2V/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_DCU0/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_DCU1/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_DCU2/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_DCU3/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_DCUA/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_DCUB/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_DCUC/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_DCUD/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_DCUF/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_DCUG/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_DCUH/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_DCUI/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_DSP/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_EBR/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_EFB0/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_EFB1/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_LR/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_LR_S/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_PLL0/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_PLL1/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_PLL2/bits.db +%%DATADIR%%/database/ECP5/tiledata/CIB_PLL3/bits.db +%%DATADIR%%/database/ECP5/tiledata/CMUX_LL_0/bits.db +%%DATADIR%%/database/ECP5/tiledata/CMUX_LR_0/bits.db +%%DATADIR%%/database/ECP5/tiledata/CMUX_UL_0/bits.db +%%DATADIR%%/database/ECP5/tiledata/CMUX_UR_0/bits.db +%%DATADIR%%/database/ECP5/tiledata/DCU0/bits.db +%%DATADIR%%/database/ECP5/tiledata/DCU1/bits.db +%%DATADIR%%/database/ECP5/tiledata/DCU2/bits.db +%%DATADIR%%/database/ECP5/tiledata/DCU3/bits.db +%%DATADIR%%/database/ECP5/tiledata/DCU4/bits.db +%%DATADIR%%/database/ECP5/tiledata/DCU5/bits.db +%%DATADIR%%/database/ECP5/tiledata/DCU6/bits.db +%%DATADIR%%/database/ECP5/tiledata/DCU7/bits.db +%%DATADIR%%/database/ECP5/tiledata/DCU8/bits.db +%%DATADIR%%/database/ECP5/tiledata/DDRDLL_LL/bits.db +%%DATADIR%%/database/ECP5/tiledata/DDRDLL_LR/bits.db +%%DATADIR%%/database/ECP5/tiledata/DDRDLL_UL/bits.db +%%DATADIR%%/database/ECP5/tiledata/DDRDLL_ULA/bits.db +%%DATADIR%%/database/ECP5/tiledata/DDRDLL_UR/bits.db +%%DATADIR%%/database/ECP5/tiledata/DDRDLL_URA/bits.db +%%DATADIR%%/database/ECP5/tiledata/DSP_CMUX_UL/bits.db +%%DATADIR%%/database/ECP5/tiledata/DSP_CMUX_UR/bits.db +%%DATADIR%%/database/ECP5/tiledata/DSP_SPINE_UL0/bits.db +%%DATADIR%%/database/ECP5/tiledata/DSP_SPINE_UL1/bits.db +%%DATADIR%%/database/ECP5/tiledata/DSP_SPINE_UR0/bits.db +%%DATADIR%%/database/ECP5/tiledata/DSP_SPINE_UR1/bits.db +%%DATADIR%%/database/ECP5/tiledata/DTR/bits.db +%%DATADIR%%/database/ECP5/tiledata/DUMMY_TILE_0/bits.db +%%DATADIR%%/database/ECP5/tiledata/DUMMY_TILE_1/bits.db +%%DATADIR%%/database/ECP5/tiledata/DUMMY_TILE_2/bits.db +%%DATADIR%%/database/ECP5/tiledata/DUMMY_TILE_4/bits.db +%%DATADIR%%/database/ECP5/tiledata/DUMMY_TILE_5/bits.db +%%DATADIR%%/database/ECP5/tiledata/DUMMY_TILE_6/bits.db +%%DATADIR%%/database/ECP5/tiledata/DUMMY_TILE_7/bits.db +%%DATADIR%%/database/ECP5/tiledata/DUMMY_TILE_8/bits.db +%%DATADIR%%/database/ECP5/tiledata/DUMMY_TILE_A/bits.db +%%DATADIR%%/database/ECP5/tiledata/DUMMY_TILE_E/bits.db +%%DATADIR%%/database/ECP5/tiledata/DUMMY_TILE_F/bits.db +%%DATADIR%%/database/ECP5/tiledata/DUMMY_TILE_S/bits.db +%%DATADIR%%/database/ECP5/tiledata/DUMMY_TILE_T/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_CMUX_LL/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_CMUX_LL_25K/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_CMUX_LR/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_CMUX_LR_25K/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_CMUX_UL/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_CMUX_UR/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_SPINE_LL0/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_SPINE_LL1/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_SPINE_LL2/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_SPINE_LL3/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_SPINE_LR0/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_SPINE_LR1/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_SPINE_LR2/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_SPINE_UL0/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_SPINE_UL1/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_SPINE_UL2/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_SPINE_UR0/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_SPINE_UR1/bits.db +%%DATADIR%%/database/ECP5/tiledata/EBR_SPINE_UR2/bits.db +%%DATADIR%%/database/ECP5/tiledata/ECLK_L/bits.db +%%DATADIR%%/database/ECP5/tiledata/ECLK_R/bits.db +%%DATADIR%%/database/ECP5/tiledata/EFB0_PICB0/bits.db +%%DATADIR%%/database/ECP5/tiledata/EFB1_PICB1/bits.db +%%DATADIR%%/database/ECP5/tiledata/EFB2_PICB0/bits.db +%%DATADIR%%/database/ECP5/tiledata/EFB3_PICB1/bits.db +%%DATADIR%%/database/ECP5/tiledata/LMID_0/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB2_DSP0/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB2_DSP1/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB2_DSP2/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB2_DSP3/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB2_DSP4/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB2_DSP5/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB2_DSP6/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB2_DSP7/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB2_DSP8/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_CIB_LR/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_CIB_LRC/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_CIB_LRC_A/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_CIB_LR_A/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_CIB_LX/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_CIB_RX/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_DSP0/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_DSP1/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_DSP2/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_DSP3/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_DSP4/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_DSP5/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_DSP6/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_DSP7/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_DSP8/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_EBR0/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_EBR1/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_EBR2/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_EBR3/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_EBR4/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_EBR5/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_EBR6/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_EBR7/bits.db +%%DATADIR%%/database/ECP5/tiledata/MIB_EBR8/bits.db +%%DATADIR%%/database/ECP5/tiledata/OSC/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICB0/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICB1/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICL0/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICL0_DQS2/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICL1/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICL1_DQS0/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICL1_DQS3/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICL2/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICL2_DQS1/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICR0/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICR0_DQS2/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICR1/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICR1_DQS0/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICR1_DQS3/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICR2/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICR2_DQS1/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICT0/bits.db +%%DATADIR%%/database/ECP5/tiledata/PICT1/bits.db +%%DATADIR%%/database/ECP5/tiledata/PIOT0/bits.db +%%DATADIR%%/database/ECP5/tiledata/PIOT1/bits.db +%%DATADIR%%/database/ECP5/tiledata/PLC2/bits.db +%%DATADIR%%/database/ECP5/tiledata/PLL0_LL/bits.db +%%DATADIR%%/database/ECP5/tiledata/PLL0_LR/bits.db +%%DATADIR%%/database/ECP5/tiledata/PLL0_UL/bits.db +%%DATADIR%%/database/ECP5/tiledata/PLL0_UR/bits.db +%%DATADIR%%/database/ECP5/tiledata/PLL1_LR/bits.db +%%DATADIR%%/database/ECP5/tiledata/PLL1_UL/bits.db +%%DATADIR%%/database/ECP5/tiledata/PLL1_UR/bits.db +%%DATADIR%%/database/ECP5/tiledata/POR/bits.db +%%DATADIR%%/database/ECP5/tiledata/PVT_COUNT2/bits.db +%%DATADIR%%/database/ECP5/tiledata/RMID_0/bits.db +%%DATADIR%%/database/ECP5/tiledata/SPICB0/bits.db +%%DATADIR%%/database/ECP5/tiledata/TAP_DRIVE/bits.db +%%DATADIR%%/database/ECP5/tiledata/TAP_DRIVE_CIB/bits.db +%%DATADIR%%/database/ECP5/tiledata/TMID_0/bits.db +%%DATADIR%%/database/ECP5/tiledata/TMID_1/bits.db +%%DATADIR%%/database/ECP5/tiledata/VCIB_DCU0/bits.db +%%DATADIR%%/database/ECP5/tiledata/VCIB_DCU1/bits.db +%%DATADIR%%/database/ECP5/tiledata/VCIB_DCU2/bits.db +%%DATADIR%%/database/ECP5/tiledata/VCIB_DCU3/bits.db +%%DATADIR%%/database/ECP5/tiledata/VCIB_DCUA/bits.db +%%DATADIR%%/database/ECP5/tiledata/VCIB_DCUB/bits.db +%%DATADIR%%/database/ECP5/tiledata/VCIB_DCUC/bits.db +%%DATADIR%%/database/ECP5/tiledata/VCIB_DCUD/bits.db +%%DATADIR%%/database/ECP5/tiledata/VCIB_DCUF/bits.db +%%DATADIR%%/database/ECP5/tiledata/VCIB_DCUG/bits.db +%%DATADIR%%/database/ECP5/tiledata/VCIB_DCUH/bits.db +%%DATADIR%%/database/ECP5/tiledata/VCIB_DCUI/bits.db +%%DATADIR%%/database/ECP5/tiledata/VIQ_BUF/bits.db +%%DATADIR%%/database/ECP5/timing/speed_6/cells.json +%%DATADIR%%/database/ECP5/timing/speed_6/interconnect.json +%%DATADIR%%/database/ECP5/timing/speed_7/cells.json +%%DATADIR%%/database/ECP5/timing/speed_7/interconnect.json +%%DATADIR%%/database/ECP5/timing/speed_8/cells.json +%%DATADIR%%/database/ECP5/timing/speed_8/interconnect.json +%%DATADIR%%/database/ECP5/timing/speed_8_5G/cells.json +%%DATADIR%%/database/ECP5/timing/speed_8_5G/interconnect.json +%%DATADIR%%/database/README.md +%%DATADIR%%/database/devices.json +%%DATADIR%%/misc/basecfgs/README.md +%%DATADIR%%/misc/basecfgs/empty_lfe5u-25f.config +%%DATADIR%%/misc/basecfgs/empty_lfe5u-45f.config +%%DATADIR%%/misc/basecfgs/empty_lfe5u-85f.config +%%DATADIR%%/misc/basecfgs/empty_lfe5um-25f.config +%%DATADIR%%/misc/basecfgs/empty_lfe5um-45f.config +%%DATADIR%%/misc/basecfgs/empty_lfe5um-85f.config +%%DATADIR%%/misc/basecfgs/empty_lfe5um5g-25f.config +%%DATADIR%%/misc/basecfgs/empty_lfe5um5g-45f.config +%%DATADIR%%/misc/basecfgs/empty_lfe5um5g-85f.config +%%DATADIR%%/misc/openocd/ecp5-evn.cfg +%%DATADIR%%/misc/openocd/ecp5-versa.cfg +%%DATADIR%%/misc/openocd/ecp5-versa5g.cfg +%%DATADIR%%/misc/openocd/ulx3s.cfg +%%DATADIR%%/misc/openocd/ulx3s_85k.cfg +%%DATADIR%%/timing/util/.gitignore +%%DATADIR%%/timing/util/__init__.py +%%DATADIR%%/timing/util/cell_fuzzers.py +%%DATADIR%%/timing/util/cell_html.py +%%DATADIR%%/timing/util/cell_timings.py +%%DATADIR%%/timing/util/design_pip_classes.py +%%DATADIR%%/timing/util/extract_ncl_routing.py +%%DATADIR%%/timing/util/interconnect_html.py +%%DATADIR%%/timing/util/parse_sdf.py +%%DATADIR%%/timing/util/pip_classes.py +%%DATADIR%%/timing/util/timing_dbs.py +%%DATADIR%%/timing/util/timing_solver.py +%%DATADIR%%/util/common/__init__.py +%%DATADIR%%/util/common/database.py +%%DATADIR%%/util/common/devices.py +%%DATADIR%%/util/common/diamond.py +%%DATADIR%%/util/common/isptcl.py +%%DATADIR%%/util/common/nets.py +%%DATADIR%%/util/common/tiles.py