Skip site navigation (1)Skip section navigation (2)
Date:      Wed, 8 Oct 2008 05:00:45 GMT
From:      Oleksandr Tymoshenko <gonzo@FreeBSD.org>
To:        Perforce Change Reviews <perforce@FreeBSD.org>
Subject:   PERFORCE change 151119 for review
Message-ID:  <200810080500.m9850j1u061551@repoman.freebsd.org>

next in thread | raw e-mail | index | archive | help
http://perforce.freebsd.org/chv.cgi?CH=151119

Change 151119 by gonzo@gonzo_jeeves on 2008/10/08 05:00:20

	IFC @151118: Mostly because of dev/cfe changes

Affected files ...

.. //depot/projects/mips2/src/ObsoleteFiles.inc#24 integrate
.. //depot/projects/mips2/src/UPDATING#21 integrate
.. //depot/projects/mips2/src/bin/pkill/pkill.1#2 integrate
.. //depot/projects/mips2/src/bin/pkill/pkill.c#2 integrate
.. //depot/projects/mips2/src/crypto/openssh/moduli.5#2 integrate
.. //depot/projects/mips2/src/crypto/openssh/scp.1#4 integrate
.. //depot/projects/mips2/src/crypto/openssh/sftp-server.8#4 integrate
.. //depot/projects/mips2/src/crypto/openssh/sftp.1#3 integrate
.. //depot/projects/mips2/src/crypto/openssh/ssh-add.1#3 integrate
.. //depot/projects/mips2/src/crypto/openssh/ssh-agent.1#4 integrate
.. //depot/projects/mips2/src/crypto/openssh/ssh-keygen.1#3 integrate
.. //depot/projects/mips2/src/crypto/openssh/ssh-keyscan.1#4 integrate
.. //depot/projects/mips2/src/crypto/openssh/ssh-keysign.8#4 integrate
.. //depot/projects/mips2/src/crypto/openssh/ssh.1#4 integrate
.. //depot/projects/mips2/src/crypto/openssh/ssh_config.5#5 integrate
.. //depot/projects/mips2/src/crypto/openssh/sshd.8#4 integrate
.. //depot/projects/mips2/src/crypto/openssh/sshd_config.5#5 integrate
.. //depot/projects/mips2/src/etc/network.subr#8 integrate
.. //depot/projects/mips2/src/gnu/lib/csu/Makefile#6 integrate
.. //depot/projects/mips2/src/gnu/usr.bin/gdb/kgdb/kld.c#5 integrate
.. //depot/projects/mips2/src/gnu/usr.bin/gdb/kgdb/trgt_i386.c#7 integrate
.. //depot/projects/mips2/src/include/Makefile#8 integrate
.. //depot/projects/mips2/src/include/unistd.h#8 integrate
.. //depot/projects/mips2/src/lib/libarchive/archive_entry.c#10 integrate
.. //depot/projects/mips2/src/lib/libarchive/archive_entry.h#9 integrate
.. //depot/projects/mips2/src/lib/libarchive/archive_entry_copy_stat.c#2 integrate
.. //depot/projects/mips2/src/lib/libarchive/archive_entry_private.h#6 integrate
.. //depot/projects/mips2/src/lib/libarchive/archive_entry_stat.c#2 integrate
.. //depot/projects/mips2/src/lib/libarchive/archive_read_support_format_tar.c#11 integrate
.. //depot/projects/mips2/src/lib/libarchive/archive_write_disk.c#15 integrate
.. //depot/projects/mips2/src/lib/libarchive/archive_write_set_format_pax.c#9 integrate
.. //depot/projects/mips2/src/lib/libarchive/config_freebsd.h#8 integrate
.. //depot/projects/mips2/src/lib/libarchive/test/Makefile#10 integrate
.. //depot/projects/mips2/src/lib/libarchive/test/test_entry.c#6 integrate
.. //depot/projects/mips2/src/lib/libarchive/test/test_write_disk.c#9 integrate
.. //depot/projects/mips2/src/lib/libarchive/test/test_write_disk_times.c#1 branch
.. //depot/projects/mips2/src/lib/libarchive/test/test_write_format_pax.c#1 branch
.. //depot/projects/mips2/src/lib/libc/gen/getosreldate.3#3 integrate
.. //depot/projects/mips2/src/lib/libc/sys/cpuset.2#3 integrate
.. //depot/projects/mips2/src/lib/libc/sys/cpuset_getaffinity.2#3 integrate
.. //depot/projects/mips2/src/lib/libpmc/Makefile#4 integrate
.. //depot/projects/mips2/src/lib/libpmc/pmc.3#10 integrate
.. //depot/projects/mips2/src/lib/libpmc/pmc.atom.3#1 branch
.. //depot/projects/mips2/src/lib/libpmc/pmc.core.3#1 branch
.. //depot/projects/mips2/src/lib/libpmc/pmc.core2.3#1 branch
.. //depot/projects/mips2/src/lib/libpmc/pmc.iaf.3#1 branch
.. //depot/projects/mips2/src/lib/libpmc/pmc.k7.3#2 integrate
.. //depot/projects/mips2/src/lib/libpmc/pmc.k8.3#2 integrate
.. //depot/projects/mips2/src/lib/libpmc/pmc.p4.3#2 integrate
.. //depot/projects/mips2/src/lib/libpmc/pmc.p5.3#2 integrate
.. //depot/projects/mips2/src/lib/libpmc/pmc.p6.3#2 integrate
.. //depot/projects/mips2/src/lib/libpmc/pmc.tsc.3#2 integrate
.. //depot/projects/mips2/src/lib/libutil/pty.c#6 integrate
.. //depot/projects/mips2/src/release/doc/en_US.ISO8859-1/hardware/article.sgml#11 integrate
.. //depot/projects/mips2/src/release/doc/ja_JP.eucJP/hardware/common/dev.sgml#2 integrate
.. //depot/projects/mips2/src/release/doc/ru_RU.KOI8-R/hardware/common/dev.sgml#2 integrate
.. //depot/projects/mips2/src/release/doc/share/misc/man2hwnotes.pl#3 integrate
.. //depot/projects/mips2/src/sbin/bsdlabel/bsdlabel.c#6 integrate
.. //depot/projects/mips2/src/sbin/fdisk/fdisk.c#5 integrate
.. //depot/projects/mips2/src/sbin/ifconfig/ifconfig.8#14 integrate
.. //depot/projects/mips2/src/sbin/ifconfig/ifconfig.c#10 integrate
.. //depot/projects/mips2/src/sbin/ifconfig/ifvlan.c#5 integrate
.. //depot/projects/mips2/src/sbin/init/init.c#5 integrate
.. //depot/projects/mips2/src/sbin/ipfw/ipfw.8#13 integrate
.. //depot/projects/mips2/src/sbin/ipfw/ipfw2.c#14 integrate
.. //depot/projects/mips2/src/sbin/setkey/setkey.8#4 integrate
.. //depot/projects/mips2/src/share/examples/FreeBSD_version/FreeBSD_version.c#2 integrate
.. //depot/projects/mips2/src/share/examples/drivers/make_pseudo_driver.sh#4 integrate
.. //depot/projects/mips2/src/share/man/man3/Makefile#7 integrate
.. //depot/projects/mips2/src/share/man/man3/makedev.3#1 branch
.. //depot/projects/mips2/src/share/man/man4/Makefile#22 integrate
.. //depot/projects/mips2/src/share/man/man4/ae.4#1 branch
.. //depot/projects/mips2/src/share/man/man4/ata.4#6 integrate
.. //depot/projects/mips2/src/share/man/man4/bce.4#4 integrate
.. //depot/projects/mips2/src/share/man/man4/ddb.4#11 integrate
.. //depot/projects/mips2/src/share/man/man4/em.4#3 integrate
.. //depot/projects/mips2/src/share/man/man4/igb.4#1 branch
.. //depot/projects/mips2/src/share/man/man4/jme.4#2 integrate
.. //depot/projects/mips2/src/share/man/man4/nfe.4#8 integrate
.. //depot/projects/mips2/src/share/man/man4/ng_tty.4#2 integrate
.. //depot/projects/mips2/src/share/man/man4/snd_hda.4#6 integrate
.. //depot/projects/mips2/src/share/man/man4/vlan.4#7 integrate
.. //depot/projects/mips2/src/share/man/man4/vr.4#4 integrate
.. //depot/projects/mips2/src/share/man/man4/wpi.4#4 integrate
.. //depot/projects/mips2/src/share/man/man5/Makefile#7 integrate
.. //depot/projects/mips2/src/share/man/man5/nullfs.5#1 branch
.. //depot/projects/mips2/src/share/man/man9/Makefile#17 integrate
.. //depot/projects/mips2/src/share/man/man9/device_ids.9#2 delete
.. //depot/projects/mips2/src/share/man/man9/make_dev.9#5 integrate
.. //depot/projects/mips2/src/share/man/man9/spl.9#2 integrate
.. //depot/projects/mips2/src/share/misc/committers-src.dot#12 integrate
.. //depot/projects/mips2/src/share/zoneinfo/southamerica#11 integrate
.. //depot/projects/mips2/src/sys/amd64/amd64/dump_machdep.c#4 integrate
.. //depot/projects/mips2/src/sys/amd64/amd64/mem.c#4 integrate
.. //depot/projects/mips2/src/sys/amd64/amd64/minidump_machdep.c#6 integrate
.. //depot/projects/mips2/src/sys/amd64/amd64/mp_machdep.c#9 integrate
.. //depot/projects/mips2/src/sys/amd64/amd64/vm_machdep.c#8 integrate
.. //depot/projects/mips2/src/sys/amd64/conf/GENERIC#17 integrate
.. //depot/projects/mips2/src/sys/amd64/include/param.h#4 integrate
.. //depot/projects/mips2/src/sys/amd64/include/smp.h#6 integrate
.. //depot/projects/mips2/src/sys/arm/arm/dump_machdep.c#4 integrate
.. //depot/projects/mips2/src/sys/arm/arm/mem.c#3 integrate
.. //depot/projects/mips2/src/sys/arm/arm/pmap.c#13 integrate
.. //depot/projects/mips2/src/sys/arm/at91/at91_mci.c#4 integrate
.. //depot/projects/mips2/src/sys/arm/at91/at91_spi.c#4 integrate
.. //depot/projects/mips2/src/sys/arm/at91/if_ate.c#10 integrate
.. //depot/projects/mips2/src/sys/arm/at91/uart_dev_at91usart.c#7 integrate
.. //depot/projects/mips2/src/sys/arm/xscale/ixp425/avila_machdep.c#7 integrate
.. //depot/projects/mips2/src/sys/boot/arm/at91/boot2/boot2.c#5 integrate
.. //depot/projects/mips2/src/sys/boot/arm/at91/boot2/centipad_board.c#2 integrate
.. //depot/projects/mips2/src/sys/boot/arm/at91/boot2/kb920x_board.c#3 integrate
.. //depot/projects/mips2/src/sys/boot/arm/ixp425/Makefile.inc#1 branch
.. //depot/projects/mips2/src/sys/boot/arm/ixp425/boot2/Makefile#1 branch
.. //depot/projects/mips2/src/sys/boot/arm/ixp425/boot2/arm_init.S#1 branch
.. //depot/projects/mips2/src/sys/boot/arm/ixp425/boot2/boot2.c#1 branch
.. //depot/projects/mips2/src/sys/boot/arm/ixp425/boot2/cf_ata.h#1 branch
.. //depot/projects/mips2/src/sys/boot/arm/ixp425/boot2/ixp425_board.c#1 branch
.. //depot/projects/mips2/src/sys/boot/arm/ixp425/boot2/lib.h#1 branch
.. //depot/projects/mips2/src/sys/boot/forth/loader.conf#12 integrate
.. //depot/projects/mips2/src/sys/boot/i386/libi386/bootinfo64.c#3 integrate
.. //depot/projects/mips2/src/sys/boot/sparc64/loader/main.c#8 integrate
.. //depot/projects/mips2/src/sys/boot/uboot/lib/api_public.h#3 integrate
.. //depot/projects/mips2/src/sys/boot/uboot/lib/glue.c#5 integrate
.. //depot/projects/mips2/src/sys/boot/uboot/lib/glue.h#4 integrate
.. //depot/projects/mips2/src/sys/boot/uboot/lib/net.c#5 integrate
.. //depot/projects/mips2/src/sys/cam/scsi/scsi_pass.c#5 integrate
.. //depot/projects/mips2/src/sys/cam/scsi/scsi_sa.c#6 integrate
.. //depot/projects/mips2/src/sys/cam/scsi/scsi_ses.c#7 integrate
.. //depot/projects/mips2/src/sys/cam/scsi/scsi_sg.c#3 integrate
.. //depot/projects/mips2/src/sys/cam/scsi/scsi_target.c#4 integrate
.. //depot/projects/mips2/src/sys/cddl/contrib/opensolaris/uts/common/dtrace/dtrace.c#3 integrate
.. //depot/projects/mips2/src/sys/cddl/dev/dtrace/dtrace_clone.c#2 integrate
.. //depot/projects/mips2/src/sys/compat/linprocfs/linprocfs.c#11 integrate
.. //depot/projects/mips2/src/sys/compat/linux/linux_ioctl.c#6 integrate
.. //depot/projects/mips2/src/sys/compat/linux/linux_misc.c#14 integrate
.. //depot/projects/mips2/src/sys/compat/linux/linux_socket.c#8 integrate
.. //depot/projects/mips2/src/sys/compat/svr4/svr4_sockio.c#4 integrate
.. //depot/projects/mips2/src/sys/conf/NOTES#25 integrate
.. //depot/projects/mips2/src/sys/conf/files#30 integrate
.. //depot/projects/mips2/src/sys/conf/files.powerpc#14 integrate
.. //depot/projects/mips2/src/sys/conf/files.sparc64#10 integrate
.. //depot/projects/mips2/src/sys/conf/kmod.mk#6 integrate
.. //depot/projects/mips2/src/sys/conf/newvers.sh#5 integrate
.. //depot/projects/mips2/src/sys/conf/options#22 integrate
.. //depot/projects/mips2/src/sys/conf/options.sparc64#5 integrate
.. //depot/projects/mips2/src/sys/contrib/ipfilter/netinet/ip_auth.c#6 integrate
.. //depot/projects/mips2/src/sys/contrib/ipfilter/netinet/ip_compat.h#6 integrate
.. //depot/projects/mips2/src/sys/contrib/pf/net/pf.c#10 integrate
.. //depot/projects/mips2/src/sys/contrib/pf/net/pf_if.c#7 integrate
.. //depot/projects/mips2/src/sys/contrib/pf/net/pf_ioctl.c#10 integrate
.. //depot/projects/mips2/src/sys/contrib/pf/net/pf_subr.c#4 integrate
.. //depot/projects/mips2/src/sys/contrib/pf/net/pfvar.h#4 integrate
.. //depot/projects/mips2/src/sys/contrib/rdma/rdma_cma.c#3 integrate
.. //depot/projects/mips2/src/sys/ddb/db_textdump.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/ae/if_ae.c#1 branch
.. //depot/projects/mips2/src/sys/dev/ae/if_aereg.h#1 branch
.. //depot/projects/mips2/src/sys/dev/ae/if_aevar.h#1 branch
.. //depot/projects/mips2/src/sys/dev/agp/agp.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/agp/agp_i810.c#6 integrate
.. //depot/projects/mips2/src/sys/dev/agp/agpreg.h#2 integrate
.. //depot/projects/mips2/src/sys/dev/aha/aha_isa.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/aha/aha_mca.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/amr/amr.c#8 integrate
.. //depot/projects/mips2/src/sys/dev/arcmsr/arcmsr.c#7 integrate
.. //depot/projects/mips2/src/sys/dev/asr/asr.c#5 integrate
.. //depot/projects/mips2/src/sys/dev/ata/ata-chipset.c#20 integrate
.. //depot/projects/mips2/src/sys/dev/ata/ata-pci.h#15 integrate
.. //depot/projects/mips2/src/sys/dev/ata/atapi-tape.c#7 integrate
.. //depot/projects/mips2/src/sys/dev/atkbdc/psm.c#8 integrate
.. //depot/projects/mips2/src/sys/dev/bktr/bktr_os.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/ce/if_ce.c#5 integrate
.. //depot/projects/mips2/src/sys/dev/cp/if_cp.c#5 integrate
.. //depot/projects/mips2/src/sys/dev/cpuctl/cpuctl.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/ctau/if_ct.c#6 integrate
.. //depot/projects/mips2/src/sys/dev/cxgb/cxgb_main.c#21 integrate
.. //depot/projects/mips2/src/sys/dev/cxgb/cxgb_sge.c#18 integrate
.. //depot/projects/mips2/src/sys/dev/cxgb/ulp/iw_cxgb/iw_cxgb.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/cxgb/ulp/tom/cxgb_cpl_io.c#10 integrate
.. //depot/projects/mips2/src/sys/dev/cxgb/ulp/tom/cxgb_cpl_socket.c#9 integrate
.. //depot/projects/mips2/src/sys/dev/cxgb/ulp/tom/cxgb_ddp.c#7 integrate
.. //depot/projects/mips2/src/sys/dev/cxgb/ulp/tom/cxgb_vm.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/cxgb/ulp/tom/cxgb_vm.h#2 integrate
.. //depot/projects/mips2/src/sys/dev/dc/dcphy.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/dc/pnphy.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm.h#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drmP.h#5 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm_agpsupport.c#5 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm_atomic.h#2 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm_auth.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm_bufs.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm_context.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm_dma.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm_drawable.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm_drv.c#5 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm_fops.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm_ioctl.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm_irq.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm_lock.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm_memory.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm_pci.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm_scatter.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm_sysctl.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/drm_vm.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/i915_dma.c#8 integrate
.. //depot/projects/mips2/src/sys/dev/drm/i915_drm.h#4 integrate
.. //depot/projects/mips2/src/sys/dev/drm/i915_drv.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/i915_drv.h#4 integrate
.. //depot/projects/mips2/src/sys/dev/drm/i915_irq.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/drm/i915_suspend.c#2 integrate
.. //depot/projects/mips2/src/sys/dev/drm/mach64_drv.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/mga_drv.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/r128_drv.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/radeon_cp.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/drm/radeon_drv.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/savage_drv.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/sis_drv.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/drm/tdfx_drv.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/fatm/if_fatm.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/fb/fb.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/fb/vgareg.h#2 integrate
.. //depot/projects/mips2/src/sys/dev/firewire/firewire.c#7 integrate
.. //depot/projects/mips2/src/sys/dev/firewire/firewire.h#3 integrate
.. //depot/projects/mips2/src/sys/dev/firewire/fwdev.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/hptiop/hptiop.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/hptiop/hptiop.h#3 integrate
.. //depot/projects/mips2/src/sys/dev/hwpmc/pmc_events.h#3 integrate
.. //depot/projects/mips2/src/sys/dev/if_ndis/if_ndis.c#12 integrate
.. //depot/projects/mips2/src/sys/dev/if_ndis/if_ndisvar.h#6 integrate
.. //depot/projects/mips2/src/sys/dev/iir/iir_ctrl.c#2 integrate
.. //depot/projects/mips2/src/sys/dev/iscsi/initiator/isc_cam.c#2 integrate
.. //depot/projects/mips2/src/sys/dev/iscsi/initiator/iscsi.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/isp/isp_freebsd.c#8 integrate
.. //depot/projects/mips2/src/sys/dev/joy/joy.c#2 integrate
.. //depot/projects/mips2/src/sys/dev/kbd/kbd.c#5 integrate
.. //depot/projects/mips2/src/sys/dev/led/led.c#5 integrate
.. //depot/projects/mips2/src/sys/dev/mii/atphy.c#2 integrate
.. //depot/projects/mips2/src/sys/dev/mii/ciphy.c#7 integrate
.. //depot/projects/mips2/src/sys/dev/mii/e1000phy.c#7 integrate
.. //depot/projects/mips2/src/sys/dev/mii/miidevs#13 integrate
.. //depot/projects/mips2/src/sys/dev/mlx/mlx.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/mly/mly.c#5 integrate
.. //depot/projects/mips2/src/sys/dev/mmc/mmc.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/mmc/mmcbrvar.h#3 integrate
.. //depot/projects/mips2/src/sys/dev/mmc/mmcreg.h#3 integrate
.. //depot/projects/mips2/src/sys/dev/mmc/mmcsd.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/mmc/mmcvar.h#3 integrate
.. //depot/projects/mips2/src/sys/dev/mse/mse.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/msk/if_msk.c#10 integrate
.. //depot/projects/mips2/src/sys/dev/mxge/eth_z8e.h#4 integrate
.. //depot/projects/mips2/src/sys/dev/mxge/ethp_z8e.h#4 integrate
.. //depot/projects/mips2/src/sys/dev/mxge/mxge_mcp.h#6 integrate
.. //depot/projects/mips2/src/sys/dev/mxge/rss_eth_z8e.h#2 integrate
.. //depot/projects/mips2/src/sys/dev/mxge/rss_ethp_z8e.h#2 integrate
.. //depot/projects/mips2/src/sys/dev/nfe/if_nfe.c#11 integrate
.. //depot/projects/mips2/src/sys/dev/nfe/if_nfereg.h#6 integrate
.. //depot/projects/mips2/src/sys/dev/nfe/if_nfevar.h#6 integrate
.. //depot/projects/mips2/src/sys/dev/pbio/pbio.c#2 integrate
.. //depot/projects/mips2/src/sys/dev/pccbb/pccbb_pci.c#5 integrate
.. //depot/projects/mips2/src/sys/dev/powermac_nvram/powermac_nvram.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/ppbus/lpt.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/ppbus/pcfclock.c#2 integrate
.. //depot/projects/mips2/src/sys/dev/ppbus/ppi.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/rp/rpvar.h#3 integrate
.. //depot/projects/mips2/src/sys/dev/sound/midi/sequencer.c#7 integrate
.. //depot/projects/mips2/src/sys/dev/sound/pci/emu10kx.c#5 integrate
.. //depot/projects/mips2/src/sys/dev/sound/pcm/dsp.c#6 integrate
.. //depot/projects/mips2/src/sys/dev/sound/pcm/mixer.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/speaker/spkr.c#6 integrate
.. //depot/projects/mips2/src/sys/dev/streams/streams.c#6 integrate
.. //depot/projects/mips2/src/sys/dev/tdfx/tdfx_pci.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/twa/tw_osl_freebsd.c#5 integrate
.. //depot/projects/mips2/src/sys/dev/twe/twe_freebsd.c#3 integrate
.. //depot/projects/mips2/src/sys/dev/usb/ucomvar.h#7 integrate
.. //depot/projects/mips2/src/sys/dev/usb/ufm.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/usb/ugen.c#5 integrate
.. //depot/projects/mips2/src/sys/dev/usb/uhid.c#5 integrate
.. //depot/projects/mips2/src/sys/dev/usb/ulpt.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/usb/ums.c#9 integrate
.. //depot/projects/mips2/src/sys/dev/usb/urio.c#4 integrate
.. //depot/projects/mips2/src/sys/dev/usb/usb.c#6 integrate
.. //depot/projects/mips2/src/sys/dev/usb/uscanner.c#8 integrate
.. //depot/projects/mips2/src/sys/dev/vkbd/vkbd.c#5 integrate
.. //depot/projects/mips2/src/sys/dev/xen/blkfront/blkfront.c#2 integrate
.. //depot/projects/mips2/src/sys/dev/xen/console/console.c#2 integrate
.. //depot/projects/mips2/src/sys/dev/xen/netfront/netfront.c#3 integrate
.. //depot/projects/mips2/src/sys/fs/cd9660/cd9660_node.c#2 integrate
.. //depot/projects/mips2/src/sys/fs/cd9660/cd9660_rrip.c#4 integrate
.. //depot/projects/mips2/src/sys/fs/coda/coda_fbsd.c#3 integrate
.. //depot/projects/mips2/src/sys/fs/devfs/devfs_vnops.c#14 integrate
.. //depot/projects/mips2/src/sys/fs/fdescfs/fdesc_vnops.c#10 integrate
.. //depot/projects/mips2/src/sys/fs/portalfs/portal_vnops.c#7 integrate
.. //depot/projects/mips2/src/sys/fs/procfs/procfs_map.c#5 integrate
.. //depot/projects/mips2/src/sys/geom/geom_dev.c#7 integrate
.. //depot/projects/mips2/src/sys/geom/part/g_part.c#11 integrate
.. //depot/projects/mips2/src/sys/geom/part/g_part_bsd.c#5 integrate
.. //depot/projects/mips2/src/sys/geom/part/g_part_pc98.c#5 integrate
.. //depot/projects/mips2/src/sys/geom/vinum/geom_vinum.h#3 integrate
.. //depot/projects/mips2/src/sys/geom/vinum/geom_vinum_drive.c#6 integrate
.. //depot/projects/mips2/src/sys/geom/vinum/geom_vinum_rm.c#3 integrate
.. //depot/projects/mips2/src/sys/geom/vinum/geom_vinum_var.h#2 integrate
.. //depot/projects/mips2/src/sys/i386/acpica/acpi_machdep.c#6 integrate
.. //depot/projects/mips2/src/sys/i386/bios/apm.c#7 integrate
.. //depot/projects/mips2/src/sys/i386/bios/smapi.c#3 integrate
.. //depot/projects/mips2/src/sys/i386/conf/GENERIC#18 integrate
.. //depot/projects/mips2/src/sys/i386/i386/db_trace.c#5 integrate
.. //depot/projects/mips2/src/sys/i386/i386/dump_machdep.c#4 integrate
.. //depot/projects/mips2/src/sys/i386/i386/mem.c#2 integrate
.. //depot/projects/mips2/src/sys/i386/i386/minidump_machdep.c#5 integrate
.. //depot/projects/mips2/src/sys/i386/i386/mp_machdep.c#12 integrate
.. //depot/projects/mips2/src/sys/i386/i386/vm_machdep.c#9 integrate
.. //depot/projects/mips2/src/sys/i386/include/param.h#4 integrate
.. //depot/projects/mips2/src/sys/i386/include/smp.h#7 integrate
.. //depot/projects/mips2/src/sys/i386/include/xen/evtchn.h#2 integrate
.. //depot/projects/mips2/src/sys/i386/xen/mp_machdep.c#3 integrate
.. //depot/projects/mips2/src/sys/i386/xen/xen_machdep.c#5 integrate
.. //depot/projects/mips2/src/sys/ia64/ia64/dump_machdep.c#4 integrate
.. //depot/projects/mips2/src/sys/ia64/ia64/interrupt.c#11 integrate
.. //depot/projects/mips2/src/sys/ia64/ia64/mem.c#2 integrate
.. //depot/projects/mips2/src/sys/ia64/ia64/mp_machdep.c#7 integrate
.. //depot/projects/mips2/src/sys/ia64/ia64/sal.c#3 integrate
.. //depot/projects/mips2/src/sys/ia64/include/smp.h#2 integrate
.. //depot/projects/mips2/src/sys/kern/kern_conf.c#10 integrate
.. //depot/projects/mips2/src/sys/kern/kern_jail.c#10 integrate
.. //depot/projects/mips2/src/sys/kern/kern_mib.c#8 integrate
.. //depot/projects/mips2/src/sys/kern/kern_shutdown.c#9 integrate
.. //depot/projects/mips2/src/sys/kern/kern_uuid.c#6 integrate
.. //depot/projects/mips2/src/sys/kern/kern_xxx.c#5 integrate
.. //depot/projects/mips2/src/sys/kern/subr_firmware.c#4 integrate
.. //depot/projects/mips2/src/sys/kern/subr_witness.c#19 integrate
.. //depot/projects/mips2/src/sys/kern/sys_socket.c#8 integrate
.. //depot/projects/mips2/src/sys/kern/tty.c#15 integrate
.. //depot/projects/mips2/src/sys/kern/uipc_sockbuf.c#9 integrate
.. //depot/projects/mips2/src/sys/kern/uipc_socket.c#13 integrate
.. //depot/projects/mips2/src/sys/kern/uipc_usrreq.c#11 integrate
.. //depot/projects/mips2/src/sys/kern/vfs_lookup.c#12 integrate
.. //depot/projects/mips2/src/sys/mips/adm5120/if_admsw.c#4 integrate
.. //depot/projects/mips2/src/sys/mips/include/param.h#7 integrate
.. //depot/projects/mips2/src/sys/mips/include/smp.h#5 integrate
.. //depot/projects/mips2/src/sys/mips/mips/mem.c#7 integrate
.. //depot/projects/mips2/src/sys/mips/mips/mp_machdep.c#4 integrate
.. //depot/projects/mips2/src/sys/mips/mips/pmap.c#34 integrate
.. //depot/projects/mips2/src/sys/mips/mips/trap.c#29 integrate
.. //depot/projects/mips2/src/sys/mips/sentry5/s5_machdep.c#4 integrate
.. //depot/projects/mips2/src/sys/modules/Makefile#20 integrate
.. //depot/projects/mips2/src/sys/modules/ae/Makefile#1 branch
.. //depot/projects/mips2/src/sys/modules/wpifw/Makefile#2 integrate
.. //depot/projects/mips2/src/sys/net/bridgestp.c#9 integrate
.. //depot/projects/mips2/src/sys/net/if.c#14 integrate
.. //depot/projects/mips2/src/sys/net/if_bridge.c#14 integrate
.. //depot/projects/mips2/src/sys/net/if_ef.c#4 integrate
.. //depot/projects/mips2/src/sys/net/if_ethersubr.c#14 integrate
.. //depot/projects/mips2/src/sys/net/if_faith.c#5 integrate
.. //depot/projects/mips2/src/sys/net/if_gif.c#8 integrate
.. //depot/projects/mips2/src/sys/net/if_gif.h#3 integrate
.. //depot/projects/mips2/src/sys/net/if_gre.c#8 integrate
.. //depot/projects/mips2/src/sys/net/if_lagg.c#13 integrate
.. //depot/projects/mips2/src/sys/net/if_loop.c#9 integrate
.. //depot/projects/mips2/src/sys/net/if_mib.c#4 integrate
.. //depot/projects/mips2/src/sys/net/if_spppsubr.c#6 integrate
.. //depot/projects/mips2/src/sys/net/if_stf.c#8 integrate
.. //depot/projects/mips2/src/sys/net/if_tap.c#7 integrate
.. //depot/projects/mips2/src/sys/net/if_tun.c#5 integrate
.. //depot/projects/mips2/src/sys/net/if_var.h#9 integrate
.. //depot/projects/mips2/src/sys/net/if_vlan.c#6 integrate
.. //depot/projects/mips2/src/sys/net/raw_cb.c#6 integrate
.. //depot/projects/mips2/src/sys/net/raw_usrreq.c#7 integrate
.. //depot/projects/mips2/src/sys/net/route.c#13 integrate
.. //depot/projects/mips2/src/sys/net/rtsock.c#10 integrate
.. //depot/projects/mips2/src/sys/net/vnet.h#1 branch
.. //depot/projects/mips2/src/sys/net80211/ieee80211_ddb.c#7 integrate
.. //depot/projects/mips2/src/sys/net80211/ieee80211_scan_sta.c#6 integrate
.. //depot/projects/mips2/src/sys/netgraph/atm/ng_atm.c#3 integrate
.. //depot/projects/mips2/src/sys/netgraph/bluetooth/drivers/ubt/ng_ubt.c#6 integrate
.. //depot/projects/mips2/src/sys/netgraph/bluetooth/drivers/ubtbcmfw/ubtbcmfw.c#4 integrate
.. //depot/projects/mips2/src/sys/netgraph/netgraph.h#11 integrate
.. //depot/projects/mips2/src/sys/netgraph/ng_base.c#20 integrate
.. //depot/projects/mips2/src/sys/netgraph/ng_device.c#3 integrate
.. //depot/projects/mips2/src/sys/netgraph/ng_eiface.c#5 integrate
.. //depot/projects/mips2/src/sys/netgraph/ng_gif.c#3 integrate
.. //depot/projects/mips2/src/sys/netgraph/ng_iface.c#5 integrate
.. //depot/projects/mips2/src/sys/netgraph/ng_tty.c#3 integrate
.. //depot/projects/mips2/src/sys/netgraph/ng_tty.h#2 integrate
.. //depot/projects/mips2/src/sys/netinet/if_ether.c#12 integrate
.. //depot/projects/mips2/src/sys/netinet/igmp.c#5 integrate
.. //depot/projects/mips2/src/sys/netinet/in.c#8 integrate
.. //depot/projects/mips2/src/sys/netinet/in.h#6 integrate
.. //depot/projects/mips2/src/sys/netinet/in_gif.c#7 integrate
.. //depot/projects/mips2/src/sys/netinet/in_mcast.c#6 integrate
.. //depot/projects/mips2/src/sys/netinet/in_pcb.c#16 integrate
.. //depot/projects/mips2/src/sys/netinet/in_pcb.h#13 integrate
.. //depot/projects/mips2/src/sys/netinet/in_rmx.c#9 integrate
.. //depot/projects/mips2/src/sys/netinet/ip.h#5 integrate
.. //depot/projects/mips2/src/sys/netinet/ip6.h#3 integrate
.. //depot/projects/mips2/src/sys/netinet/ip_carp.c#10 integrate
.. //depot/projects/mips2/src/sys/netinet/ip_divert.c#9 integrate
.. //depot/projects/mips2/src/sys/netinet/ip_fastfwd.c#6 integrate
.. //depot/projects/mips2/src/sys/netinet/ip_fw.h#11 integrate
.. //depot/projects/mips2/src/sys/netinet/ip_fw2.c#17 integrate
.. //depot/projects/mips2/src/sys/netinet/ip_fw_nat.c#4 integrate
.. //depot/projects/mips2/src/sys/netinet/ip_icmp.c#8 integrate
.. //depot/projects/mips2/src/sys/netinet/ip_input.c#10 integrate
.. //depot/projects/mips2/src/sys/netinet/ip_ipsec.c#9 integrate
.. //depot/projects/mips2/src/sys/netinet/ip_mroute.c#8 integrate
.. //depot/projects/mips2/src/sys/netinet/ip_options.c#9 integrate
.. //depot/projects/mips2/src/sys/netinet/raw_ip.c#9 integrate
.. //depot/projects/mips2/src/sys/netinet/tcp_hostcache.c#7 integrate
.. //depot/projects/mips2/src/sys/netinet/tcp_input.c#17 integrate
.. //depot/projects/mips2/src/sys/netinet/tcp_offload.c#4 integrate
.. //depot/projects/mips2/src/sys/netinet/tcp_output.c#15 integrate
.. //depot/projects/mips2/src/sys/netinet/tcp_reass.c#5 integrate
.. //depot/projects/mips2/src/sys/netinet/tcp_sack.c#7 integrate
.. //depot/projects/mips2/src/sys/netinet/tcp_subr.c#17 integrate
.. //depot/projects/mips2/src/sys/netinet/tcp_syncache.c#16 integrate
.. //depot/projects/mips2/src/sys/netinet/tcp_timer.c#9 integrate
.. //depot/projects/mips2/src/sys/netinet/tcp_timewait.c#5 integrate
.. //depot/projects/mips2/src/sys/netinet/tcp_usrreq.c#13 integrate
.. //depot/projects/mips2/src/sys/netinet/udp_usrreq.c#10 integrate
.. //depot/projects/mips2/src/sys/netinet/vinet.h#1 branch
.. //depot/projects/mips2/src/sys/netinet6/dest6.c#5 integrate
.. //depot/projects/mips2/src/sys/netinet6/frag6.c#8 integrate
.. //depot/projects/mips2/src/sys/netinet6/icmp6.c#11 integrate
.. //depot/projects/mips2/src/sys/netinet6/in6.c#8 integrate
.. //depot/projects/mips2/src/sys/netinet6/in6.h#4 integrate
.. //depot/projects/mips2/src/sys/netinet6/in6_gif.c#6 integrate
.. //depot/projects/mips2/src/sys/netinet6/in6_ifattach.c#8 integrate
.. //depot/projects/mips2/src/sys/netinet6/in6_pcb.c#12 integrate
.. //depot/projects/mips2/src/sys/netinet6/in6_proto.c#8 integrate
.. //depot/projects/mips2/src/sys/netinet6/in6_rmx.c#8 integrate
.. //depot/projects/mips2/src/sys/netinet6/in6_src.c#10 integrate
.. //depot/projects/mips2/src/sys/netinet6/ip6_forward.c#7 integrate
.. //depot/projects/mips2/src/sys/netinet6/ip6_input.c#8 integrate
.. //depot/projects/mips2/src/sys/netinet6/ip6_ipsec.c#7 integrate
.. //depot/projects/mips2/src/sys/netinet6/ip6_mroute.c#7 integrate
.. //depot/projects/mips2/src/sys/netinet6/ip6_output.c#10 integrate
.. //depot/projects/mips2/src/sys/netinet6/mld6.c#9 integrate
.. //depot/projects/mips2/src/sys/netinet6/nd6.c#8 integrate
.. //depot/projects/mips2/src/sys/netinet6/nd6.h#4 integrate
.. //depot/projects/mips2/src/sys/netinet6/nd6_nbr.c#8 integrate
.. //depot/projects/mips2/src/sys/netinet6/nd6_rtr.c#8 integrate
.. //depot/projects/mips2/src/sys/netinet6/raw_ip6.c#11 integrate
.. //depot/projects/mips2/src/sys/netinet6/route6.c#5 integrate
.. //depot/projects/mips2/src/sys/netinet6/scope6.c#6 integrate
.. //depot/projects/mips2/src/sys/netinet6/udp6_usrreq.c#15 integrate
.. //depot/projects/mips2/src/sys/netinet6/vinet6.h#1 branch
.. //depot/projects/mips2/src/sys/netipsec/ipsec.c#9 integrate
.. //depot/projects/mips2/src/sys/netipsec/ipsec.h#7 integrate
.. //depot/projects/mips2/src/sys/netipsec/ipsec_input.c#8 integrate
.. //depot/projects/mips2/src/sys/netipsec/ipsec_mbuf.c#4 integrate
.. //depot/projects/mips2/src/sys/netipsec/ipsec_output.c#7 integrate
.. //depot/projects/mips2/src/sys/netipsec/key.c#8 integrate
.. //depot/projects/mips2/src/sys/netipsec/keysock.c#7 integrate
.. //depot/projects/mips2/src/sys/netipsec/vipsec.h#1 branch
.. //depot/projects/mips2/src/sys/netipsec/xform_ah.c#6 integrate
.. //depot/projects/mips2/src/sys/netipsec/xform_esp.c#6 integrate
.. //depot/projects/mips2/src/sys/netipsec/xform_ipcomp.c#5 integrate
.. //depot/projects/mips2/src/sys/netipsec/xform_ipip.c#6 integrate
.. //depot/projects/mips2/src/sys/netsmb/smb_dev.c#5 integrate
.. //depot/projects/mips2/src/sys/nfsclient/nfs_diskless.c#6 integrate
.. //depot/projects/mips2/src/sys/nfsclient/nfs_vfsops.c#13 integrate
.. //depot/projects/mips2/src/sys/nfsclient/nfs_vnops.c#13 integrate
.. //depot/projects/mips2/src/sys/pc98/cbus/gdc.c#3 integrate
.. //depot/projects/mips2/src/sys/pc98/cbus/olpt.c#3 integrate
.. //depot/projects/mips2/src/sys/powerpc/include/dbdma.h#3 integrate
.. //depot/projects/mips2/src/sys/powerpc/include/smp.h#4 integrate
.. //depot/projects/mips2/src/sys/powerpc/powermac/ata_dbdma.c#1 branch
.. //depot/projects/mips2/src/sys/powerpc/powermac/ata_dbdma.h#1 branch
.. //depot/projects/mips2/src/sys/powerpc/powermac/ata_kauai.c#3 integrate
.. //depot/projects/mips2/src/sys/powerpc/powermac/ata_macio.c#2 integrate
.. //depot/projects/mips2/src/sys/powerpc/powermac/dbdma.c#3 integrate
.. //depot/projects/mips2/src/sys/powerpc/powerpc/cpu.c#7 integrate
.. //depot/projects/mips2/src/sys/powerpc/powerpc/intr_machdep.c#13 integrate
.. //depot/projects/mips2/src/sys/powerpc/powerpc/mem.c#3 integrate
.. //depot/projects/mips2/src/sys/powerpc/powerpc/mp_machdep.c#5 integrate
.. //depot/projects/mips2/src/sys/rpc/authunix_prot.c#5 integrate
.. //depot/projects/mips2/src/sys/security/audit/audit_pipe.c#9 integrate
.. //depot/projects/mips2/src/sys/sparc64/pci/schizo.c#1 branch
.. //depot/projects/mips2/src/sys/sparc64/pci/schizoreg.h#1 branch
.. //depot/projects/mips2/src/sys/sparc64/pci/schizovar.h#1 branch
.. //depot/projects/mips2/src/sys/sparc64/sparc64/dump_machdep.c#4 integrate
.. //depot/projects/mips2/src/sys/sparc64/sparc64/mem.c#5 integrate
.. //depot/projects/mips2/src/sys/sun4v/include/smp.h#3 integrate
.. //depot/projects/mips2/src/sys/sun4v/sun4v/dump_machdep.c#4 integrate
.. //depot/projects/mips2/src/sys/sys/conf.h#8 integrate
.. //depot/projects/mips2/src/sys/sys/kerneldump.h#3 integrate
.. //depot/projects/mips2/src/sys/sys/pmc.h#5 integrate
.. //depot/projects/mips2/src/sys/sys/protosw.h#4 integrate
.. //depot/projects/mips2/src/sys/sys/sysctl.h#12 integrate
.. //depot/projects/mips2/src/sys/sys/systm.h#13 integrate
.. //depot/projects/mips2/src/sys/sys/types.h#6 integrate
.. //depot/projects/mips2/src/sys/sys/un.h#2 integrate
.. //depot/projects/mips2/src/sys/sys/vimage.h#2 integrate
.. //depot/projects/mips2/src/sys/vm/device_pager.c#6 integrate
.. //depot/projects/mips2/src/sys/vm/swap_pager.c#10 integrate
.. //depot/projects/mips2/src/sys/vm/vm_page.c#15 integrate
.. //depot/projects/mips2/src/sys/vm/vm_page.h#6 integrate
.. //depot/projects/mips2/src/sys/vm/vm_pageout.c#9 integrate
.. //depot/projects/mips2/src/sys/vm/vm_pageout.h#3 integrate
.. //depot/projects/mips2/src/sys/xen/evtchn/evtchn.c#3 integrate
.. //depot/projects/mips2/src/sys/xen/gnttab.c#3 integrate
.. //depot/projects/mips2/src/sys/xen/gnttab.h#3 integrate
.. //depot/projects/mips2/src/sys/xen/interface/arch-ia64.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/arch-powerpc.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/arch-x86/cpuid.h#1 branch
.. //depot/projects/mips2/src/sys/xen/interface/arch-x86/hvm/save.h#1 branch
.. //depot/projects/mips2/src/sys/xen/interface/arch-x86/xen-mca.h#1 branch
.. //depot/projects/mips2/src/sys/xen/interface/domctl.h#3 integrate
.. //depot/projects/mips2/src/sys/xen/interface/foreign/mkchecker.py#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/foreign/mkheader.py#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/foreign/structs.py#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/grant_table.h#3 integrate
.. //depot/projects/mips2/src/sys/xen/interface/hvm/hvm_op.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/hvm/ioreq.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/hvm/params.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/hvm/save.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/io/blkif.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/io/fbif.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/io/kbdif.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/io/netif.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/io/pciif.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/io/protocols.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/io/ring.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/io/xenbus.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/io/xs_wire.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/kexec.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/libelf.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/memory.h#3 integrate
.. //depot/projects/mips2/src/sys/xen/interface/platform.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/sysctl.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/trace.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/xen-compat.h#2 integrate
.. //depot/projects/mips2/src/sys/xen/interface/xen.h#2 integrate
.. //depot/projects/mips2/src/tools/regression/netinet/udpzerobyte/Makefile#1 branch
.. //depot/projects/mips2/src/tools/regression/netinet/udpzerobyte/udpzerobyte.c#1 branch
.. //depot/projects/mips2/src/tools/regression/sockets/fstat/Makefile#1 branch
.. //depot/projects/mips2/src/tools/regression/sockets/fstat/fstat.c#1 branch
.. //depot/projects/mips2/src/usr.bin/cpuset/cpuset.1#4 integrate
.. //depot/projects/mips2/src/usr.bin/make/job.c#5 integrate
.. //depot/projects/mips2/src/usr.bin/top/machine.c#9 integrate
.. //depot/projects/mips2/src/usr.sbin/Makefile#17 integrate
.. //depot/projects/mips2/src/usr.sbin/boot0cfg/boot0cfg.c#3 integrate
.. //depot/projects/mips2/src/usr.sbin/bsnmpd/modules/Makefile#3 integrate
.. //depot/projects/mips2/src/usr.sbin/gstat/gstat.8#3 integrate
.. //depot/projects/mips2/src/usr.sbin/gstat/gstat.c#4 integrate
.. //depot/projects/mips2/src/usr.sbin/pmcstat/pmcstat.8#7 integrate
.. //depot/projects/mips2/src/usr.sbin/pmcstat/pmcstat.c#9 integrate
.. //depot/projects/mips2/src/usr.sbin/sysinstall/devices.c#7 integrate

Differences ...

==== //depot/projects/mips2/src/ObsoleteFiles.inc#24 (text+ko) ====

@@ -1,5 +1,5 @@
 #
-# $FreeBSD: src/ObsoleteFiles.inc,v 1.162 2008/09/21 16:11:11 antoine Exp $
+# $FreeBSD: src/ObsoleteFiles.inc,v 1.163 2008/09/28 20:15:45 ed Exp $
 #
 # This file lists old files (OLD_FILES), libraries (OLD_LIBS) and
 # directories (OLD_DIRS) which should get removed at an update. Recently
@@ -14,6 +14,12 @@
 # The file is partitioned: OLD_FILES first, then OLD_LIBS and OLD_DIRS last.
 #
 
+# 20080928: removal of inaccurate device_ids(9) manual page
+OLD_FILES+=usr/share/man/man9/device_ids.9.gz
+OLD_FILES+=usr/share/man/man9/major.9.gz
+OLD_FILES+=usr/share/man/man9/minor.9.gz
+OLD_FILES+=usr/share/man/man9/umajor.9.gz
+OLD_FILES+=usr/share/man/man9/uminor.9.gz
 # 20080917: removal of manpage for axed kernel primitive suser(9)
 OLD_FILES+=usr/share/man/man9/suser.9.gz
 OLD_FILES+=usr/share/man/man9/suser_cred.9.gz

==== //depot/projects/mips2/src/UPDATING#21 (text+ko) ====

@@ -59,6 +59,11 @@
 	option to "ssh-dss,ssh-rsa" in ~/.ssh/config or on the ssh
 	command line.
 
+	Please note that the sequence of keys offered for
+	authentication has been changed as well.  You may want to
+	specify IdentityFile in a different order to revert this
+	behavior.
+
 20080713:
 	The sio(4) driver has been removed from the i386 and amd64
 	kernel configuration files. This means uart(4) is now the
@@ -1018,7 +1023,7 @@
 	<edit ${CURRENT_ROOT}/etc/fstab to mount "/" from the correct partition>
 	<reboot into current>
 	<do a "native" rebuild/install as described in the previous section>
-	<maybe install compatibility libraries from src/lib/compat>
+	<maybe install compatibility libraries from ports/misc/compat*>
  	<reboot>
 
 
@@ -1126,4 +1131,4 @@
 Contact Warner Losh if you have any questions about your use of
 this document.
 
-$FreeBSD: src/UPDATING,v 1.542 2008/09/14 19:25:57 ed Exp $
+$FreeBSD: src/UPDATING,v 1.543 2008/10/08 01:31:00 delphij Exp $

==== //depot/projects/mips2/src/bin/pkill/pkill.1#2 (text+ko) ====

@@ -1,6 +1,6 @@
 .\"	$NetBSD: pkill.1,v 1.8 2003/02/14 15:59:18 grant Exp $
 .\"
-.\" $FreeBSD: src/bin/pkill/pkill.1,v 1.1 2008/08/31 14:27:59 yar Exp $
+.\" $FreeBSD: src/bin/pkill/pkill.1,v 1.3 2008/09/30 17:30:39 ed Exp $
 .\"
 .\" Copyright (c) 2002 The NetBSD Foundation, Inc.
 .\" All rights reserved.

==== //depot/projects/mips2/src/bin/pkill/pkill.c#2 (text+ko) ====

@@ -38,7 +38,7 @@
  */
 
 #include <sys/cdefs.h>
-__FBSDID("$FreeBSD: src/bin/pkill/pkill.c,v 1.1 2008/08/31 14:27:59 yar Exp $");
+__FBSDID("$FreeBSD: src/bin/pkill/pkill.c,v 1.3 2008/09/30 17:30:39 ed Exp $");
 
 #include <sys/types.h>
 #include <sys/param.h>
@@ -607,12 +607,11 @@
 	struct passwd *pw;
 	struct group *gr;
 	struct stat st;
-	const char *cp, *prefix;
+	const char *cp;
 	char *sp, *ep, buf[MAXPATHLEN];
 	int empty;
 
 	empty = 1;
-	prefix = _PATH_DEV;
 
 	while ((sp = strsep(&src, ",")) != NULL) {
 		if (*sp == '\0')
@@ -673,21 +672,21 @@
 				cp = "console";
 			} else {
 				cp = sp;
-				if (strncmp(sp, "tty", 3) != 0)
-					prefix = _PATH_TTY;
 			}
 
-			snprintf(buf, sizeof(buf), "%s%s", prefix, cp);
+			snprintf(buf, sizeof(buf), _PATH_DEV "%s", cp);
+			if (stat(buf, &st) != -1)
+				goto foundtty;
+
+			snprintf(buf, sizeof(buf), _PATH_DEV "tty%s", cp);
+			if (stat(buf, &st) != -1)
+				goto foundtty;
 
-			if (stat(buf, &st) == -1) {
-				if (errno == ENOENT) {
-					errx(STATUS_BADUSAGE,
-					    "No such tty: `%s'", sp);
-				}
-				err(STATUS_ERROR, "Cannot access `%s'", sp);
-			}
+			if (errno == ENOENT)
+				errx(STATUS_BADUSAGE, "No such tty: `%s'", sp);
+			err(STATUS_ERROR, "Cannot access `%s'", sp);
 
-			if ((st.st_mode & S_IFCHR) == 0)
+foundtty:		if ((st.st_mode & S_IFCHR) == 0)
 				errx(STATUS_BADUSAGE, "Not a tty: `%s'", sp);
 
 			li->li_number = st.st_rdev;

==== //depot/projects/mips2/src/crypto/openssh/moduli.5#2 (text+ko) ====

@@ -13,7 +13,7 @@
 .\" WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
 .\" ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
 .\" OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
-.Dd $Mdocdate: June 26 2008 $
+.Dd June 26 2008
 .Dt MODULI 5
 .Os
 .Sh NAME

==== //depot/projects/mips2/src/crypto/openssh/scp.1#4 (text+ko) ====

@@ -11,7 +11,7 @@
 .\"
 .\" $OpenBSD: scp.1,v 1.46 2008/07/12 05:33:41 djm Exp $
 .\"
-.Dd $Mdocdate: July 12 2008 $
+.Dd July 12 2008
 .Dt SCP 1
 .Os
 .Sh NAME

==== //depot/projects/mips2/src/crypto/openssh/sftp-server.8#4 (text+ko) ====

@@ -22,7 +22,7 @@
 .\" (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
 .\" THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 .\"
-.Dd $Mdocdate: July 18 2008 $
+.Dd July 18 2008
 .Dt SFTP-SERVER 8
 .Os
 .Sh NAME

==== //depot/projects/mips2/src/crypto/openssh/sftp.1#3 (text+ko) ====

@@ -22,7 +22,7 @@
 .\" (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
 .\" THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 .\"
-.Dd $Mdocdate: July 15 2008 $
+.Dd July 15 2008
 .Dt SFTP 1
 .Os
 .Sh NAME

==== //depot/projects/mips2/src/crypto/openssh/ssh-add.1#3 (text+ko) ====

@@ -37,7 +37,7 @@
 .\" (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
 .\" THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 .\"
-.Dd $Mdocdate: June 12 2007 $
+.Dd June 12 2007
 .Dt SSH-ADD 1
 .Os
 .Sh NAME

==== //depot/projects/mips2/src/crypto/openssh/ssh-agent.1#4 (text+ko) ====

@@ -34,7 +34,7 @@
 .\" (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
 .\" THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 .\"
-.Dd $Mdocdate: June 5 2007 $
+.Dd June 5 2007
 .Dt SSH-AGENT 1
 .Os
 .Sh NAME

==== //depot/projects/mips2/src/crypto/openssh/ssh-keygen.1#3 (text+ko) ====

@@ -37,7 +37,7 @@
 .\" (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
 .\" THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 .\"
-.Dd $Mdocdate: June 12 2008 $
+.Dd June 12 2008
 .Dt SSH-KEYGEN 1
 .Os
 .Sh NAME

==== //depot/projects/mips2/src/crypto/openssh/ssh-keyscan.1#4 (text+ko) ====

@@ -6,7 +6,7 @@
 .\" permitted provided that due credit is given to the author and the
 .\" OpenBSD project by leaving this copyright notice intact.
 .\"
-.Dd $Mdocdate: April 30 2008 $
+.Dd April 30 2008
 .Dt SSH-KEYSCAN 1
 .Os
 .Sh NAME

==== //depot/projects/mips2/src/crypto/openssh/ssh-keysign.8#4 (text+ko) ====

@@ -22,7 +22,7 @@
 .\" (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
 .\" THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 .\"
-.Dd $Mdocdate: May 31 2007 $
+.Dd May 31 2007
 .Dt SSH-KEYSIGN 8
 .Os
 .Sh NAME

==== //depot/projects/mips2/src/crypto/openssh/ssh.1#4 (text+ko) ====

@@ -35,8 +35,8 @@
 .\" THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 .\"
 .\" $OpenBSD: ssh.1,v 1.277 2008/07/02 13:47:39 djm Exp $
-.\" $FreeBSD: src/crypto/openssh/ssh.1,v 1.36 2008/08/01 02:48:36 des Exp $
-.Dd $Mdocdate: July 2 2008 $
+.\" $FreeBSD: src/crypto/openssh/ssh.1,v 1.37 2008/09/29 10:53:05 des Exp $
+.Dd July 2 2008
 .Dt SSH 1
 .Os
 .Sh NAME

==== //depot/projects/mips2/src/crypto/openssh/ssh_config.5#5 (text+ko) ====

@@ -35,8 +35,8 @@
 .\" THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 .\"
 .\" $OpenBSD: ssh_config.5,v 1.111 2008/06/26 11:46:31 grunk Exp $
-.\" $FreeBSD: src/crypto/openssh/ssh_config.5,v 1.24 2008/08/01 02:48:36 des Exp $
-.Dd $Mdocdate: June 26 2008 $
+.\" $FreeBSD: src/crypto/openssh/ssh_config.5,v 1.25 2008/09/29 10:53:05 des Exp $
+.Dd June 26 2008
 .Dt SSH_CONFIG 5
 .Os
 .Sh NAME

==== //depot/projects/mips2/src/crypto/openssh/sshd.8#4 (text+ko) ====

@@ -35,8 +35,8 @@
 .\" THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 .\"
 .\" $OpenBSD: sshd.8,v 1.246 2008/07/02 02:24:18 djm Exp $
-.\" $FreeBSD: src/crypto/openssh/sshd.8,v 1.35 2008/08/01 02:48:36 des Exp $
-.Dd $Mdocdate: July 2 2008 $
+.\" $FreeBSD: src/crypto/openssh/sshd.8,v 1.36 2008/09/29 10:53:05 des Exp $
+.Dd July 2 2008
 .Dt SSHD 8
 .Os
 .Sh NAME

==== //depot/projects/mips2/src/crypto/openssh/sshd_config.5#5 (text+ko) ====

@@ -35,8 +35,8 @@
 .\" THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 .\"
 .\" $OpenBSD: sshd_config.5,v 1.96 2008/07/02 02:24:18 djm Exp $
-.\" $FreeBSD: src/crypto/openssh/sshd_config.5,v 1.30 2008/08/01 02:48:36 des Exp $
-.Dd $Mdocdate: July 2 2008 $
+.\" $FreeBSD: src/crypto/openssh/sshd_config.5,v 1.31 2008/09/29 10:53:05 des Exp $
+.Dd July 2 2008
 .Dt SSHD_CONFIG 5
 .Os
 .Sh NAME

==== //depot/projects/mips2/src/etc/network.subr#8 (text+ko) ====

@@ -22,7 +22,7 @@
 # OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF
 # SUCH DAMAGE.
 #
-# $FreeBSD: src/etc/network.subr,v 1.190 2008/06/23 20:50:11 mtm Exp $
+# $FreeBSD: src/etc/network.subr,v 1.191 2008/10/01 18:46:46 brooks Exp $
 #
 
 #
@@ -506,15 +506,9 @@
 
 	# Create wireless interfaces
 	child_wlans=`get_if_var $ifn wlans_IF`
-	if [ -z "${child_wlans}" ]; then
-		child_wlans=`get_if_var $ifn vaps_IF`
-		if [ -n "${child_wlans}" ]; then
-			warn "soon to be deleted vaps_$ifn variable defined use wlans_$ifn"
-		fi
-	fi
 
 	for child in ${child_wlans}; do
-		create_args="wlandev $ifn `get_if_var $child create_args_IF` `get_if_var $child vap_create_IF`"
+		create_args="wlandev $ifn `get_if_var $child create_args_IF`"
 		if expr $child : 'wlan[0-9][0-9]*$' >/dev/null 2>&1; then
 			ifconfig $child create ${create_args} && cfg=0
 		else

==== //depot/projects/mips2/src/gnu/lib/csu/Makefile#6 (text+ko) ====

@@ -1,4 +1,4 @@
-# $FreeBSD: src/gnu/lib/csu/Makefile,v 1.29 2008/06/25 21:33:28 ru Exp $
+# $FreeBSD: src/gnu/lib/csu/Makefile,v 1.31 2008/09/28 19:17:54 marcel Exp $
 
 GCCDIR=	${.CURDIR}/../../../contrib/gcc
 GCCLIB=	${.CURDIR}/../../../contrib/gcclibs

==== //depot/projects/mips2/src/gnu/usr.bin/gdb/kgdb/kld.c#5 (text+ko) ====

@@ -25,7 +25,7 @@
  */
 
 #include <sys/cdefs.h>
-__FBSDID("$FreeBSD: src/gnu/usr.bin/gdb/kgdb/kld.c,v 1.10 2008/09/25 19:32:03 jhb Exp $");
+__FBSDID("$FreeBSD: src/gnu/usr.bin/gdb/kgdb/kld.c,v 1.11 2008/10/02 20:42:10 jhb Exp $");
 
 #include <sys/param.h>
 #include <sys/stat.h>
@@ -201,7 +201,7 @@
 load_kld (char *path, CORE_ADDR base_addr, int from_tty)
 {
 	struct section_addr_info *sap;
-	struct section_table *sections, *sections_end, *s;
+	struct section_table *sections = NULL, *sections_end = NULL, *s;
 	struct cleanup *cleanup;
 	bfd *bfd;
 	int i;

==== //depot/projects/mips2/src/gnu/usr.bin/gdb/kgdb/trgt_i386.c#7 (text+ko) ====

@@ -25,7 +25,7 @@
  */
 
 #include <sys/cdefs.h>
-__FBSDID("$FreeBSD: src/gnu/usr.bin/gdb/kgdb/trgt_i386.c,v 1.12 2008/05/09 19:00:40 jhb Exp $");
+__FBSDID("$FreeBSD: src/gnu/usr.bin/gdb/kgdb/trgt_i386.c,v 1.13 2008/09/27 15:58:37 kib Exp $");
 
 #include <sys/param.h>
 #include <sys/proc.h>
@@ -242,10 +242,14 @@
 };
 
 struct kgdb_frame_cache {
-	int		intrframe;
+	int		frame_type;
 	CORE_ADDR	pc;
 	CORE_ADDR	sp;
 };
+#define	FT_NORMAL		1
+#define	FT_INTRFRAME		2
+#define	FT_INTRTRAPFRAME	3
+#define	FT_TIMERFRAME		4
 
 static int kgdb_trgt_frame_offset[15] = {
 	offsetof(struct trapframe, tf_eax),
@@ -278,7 +282,17 @@
 		*this_cache = cache;
 		cache->pc = frame_func_unwind(next_frame);
 		find_pc_partial_function(cache->pc, &pname, NULL, NULL);
-		cache->intrframe = (pname[0] == 'X') ? 1 : 0;
+		if (pname[0] != 'X')
+			cache->frame_type = FT_NORMAL;
+		else if (strcmp(pname, "Xtimerint") == 0)
+			cache->frame_type = FT_TIMERFRAME;
+		else if (strcmp(pname, "Xcpustop") == 0 ||
+		    strcmp(pname, "Xrendezvous") == 0 ||
+		    strcmp(pname, "Xipi_intr_bitmap_handler") == 0 ||
+		    strcmp(pname, "Xlazypmap") == 0)
+			cache->frame_type = FT_INTRTRAPFRAME;
+		else
+			cache->frame_type = FT_INTRFRAME;
 		frame_unwind_register(next_frame, SP_REGNUM, buf);
 		cache->sp = extract_unsigned_integer(buf,
 		    register_size(current_gdbarch, SP_REGNUM));
@@ -321,7 +335,23 @@
 		return;
 
 	cache = kgdb_trgt_frame_cache(next_frame, this_cache);
-	*addrp = cache->sp + ofs + (cache->intrframe ? 4 : 0);
+	switch (cache->frame_type) {
+	case FT_NORMAL:
+		break;
+	case FT_INTRFRAME:
+		ofs += 4;
+		break;
+	case FT_TIMERFRAME:
+		break;
+	case FT_INTRTRAPFRAME:
+		ofs -= ofs_fix;
+		break;
+	default:
+		fprintf_unfiltered(gdb_stderr, "Correct FT_XXX frame offsets "
+		   "for %d\n", cache->frame_type);
+		break;
+	}
+	*addrp = cache->sp + ofs;
 	*lvalp = lval_memory;
 	target_read_memory(*addrp, valuep, regsz);
 }

==== //depot/projects/mips2/src/include/Makefile#8 (text+ko) ====

@@ -1,5 +1,5 @@
 #	@(#)Makefile	8.2 (Berkeley) 1/4/94
-# $FreeBSD: src/include/Makefile,v 1.281 2008/07/25 12:37:46 ed Exp $
+# $FreeBSD: src/include/Makefile,v 1.283 2008/09/26 19:23:22 peter Exp $
 #
 # Doing a "make install" builds /usr/include.
 

==== //depot/projects/mips2/src/include/unistd.h#8 (text+ko) ====

@@ -31,7 +31,7 @@
  * SUCH DAMAGE.
  *
  *	@(#)unistd.h	8.12 (Berkeley) 4/27/95
- * $FreeBSD: src/include/unistd.h,v 1.90 2008/07/08 03:08:32 davidxu Exp $
+ * $FreeBSD: src/include/unistd.h,v 1.91 2008/09/26 19:23:22 peter Exp $
  */
 
 #ifndef _UNISTD_H_
@@ -485,6 +485,7 @@
 int	 getdomainname(char *, int);
 int	 getgrouplist(const char *, gid_t, gid_t *, int *);
 mode_t	 getmode(const void *, mode_t);
+int	 getosreldate(void);
 int	 getpeereid(int, uid_t *, gid_t *);

>>> TRUNCATED FOR MAIL (1000 lines) <<<



Want to link to this message? Use this URL: <https://mail-archive.FreeBSD.org/cgi/mid.cgi?200810080500.m9850j1u061551>