From owner-cvs-all@FreeBSD.ORG Wed Jan 25 08:13:47 2006 Return-Path: X-Original-To: cvs-all@FreeBSD.org Delivered-To: cvs-all@FreeBSD.org Received: from mx1.FreeBSD.org (mx1.freebsd.org [216.136.204.125]) by hub.freebsd.org (Postfix) with ESMTP id B3B0E16A41F; Wed, 25 Jan 2006 08:13:47 +0000 (GMT) (envelope-from sos@FreeBSD.org) Received: from repoman.freebsd.org (repoman.freebsd.org [216.136.204.115]) by mx1.FreeBSD.org (Postfix) with ESMTP id 6F72D43D46; Wed, 25 Jan 2006 08:13:47 +0000 (GMT) (envelope-from sos@FreeBSD.org) Received: from repoman.freebsd.org (localhost [127.0.0.1]) by repoman.freebsd.org (8.13.1/8.13.1) with ESMTP id k0P8DlvO022142; Wed, 25 Jan 2006 08:13:47 GMT (envelope-from sos@repoman.freebsd.org) Received: (from sos@localhost) by repoman.freebsd.org (8.13.1/8.13.1/Submit) id k0P8DlN6022140; Wed, 25 Jan 2006 08:13:47 GMT (envelope-from sos) Message-Id: <200601250813.k0P8DlN6022140@repoman.freebsd.org> From: Søren Schmidt Date: Wed, 25 Jan 2006 08:13:46 +0000 (UTC) To: src-committers@FreeBSD.org, cvs-src@FreeBSD.org, cvs-all@FreeBSD.org X-FreeBSD-CVS-Branch: RELENG_6 Cc: Subject: cvs commit: src/sys/sys ata.h src/sys/dev/ata ata-all.c ata-all.h ata-card.c ata-cbus.c ata-chipset.c ata-disk.c ata-disk.h ata-dma.c ata-isa.c ata-lowlevel.c ata-pci.c ata-pci.h ata-queue.c ata-raid.c ata-raid.h ata_if.m atapi-cd.c atapi-cd.h atapi-fd.c ... X-BeenThere: cvs-all@freebsd.org X-Mailman-Version: 2.1.5 Precedence: list List-Id: CVS commit messages for the entire tree List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Wed, 25 Jan 2006 08:13:47 -0000 sos 2006-01-25 08:13:46 UTC FreeBSD src repository Modified files: (Branch: RELENG_6) sys/sys ata.h sys/dev/ata ata-all.c ata-all.h ata-card.c ata-cbus.c ata-chipset.c ata-disk.c ata-disk.h ata-dma.c ata-isa.c ata-lowlevel.c ata-pci.c ata-pci.h ata-queue.c ata-raid.c ata-raid.h ata_if.m atapi-cd.c atapi-cd.h atapi-fd.c atapi-fd.h atapi-tape.c atapi-tape.h sbin/atacontrol atacontrol.8 atacontrol.c Log: MFC: Bring ATA up to -current standards: Fix SiS SATA support, the SATA registers was off. Update the ICH7 support so it deals better with chips without AHCI. Unbreak hotplug support on the ICH6 and ICH7 chipsets. Add support for VIA VT8251 southbridge. Add new nVidia nForce4 chips. Add support for the Marvell 88SX[56]0[48][01] series of SATA chips. Fix the promise modesetting for old chips. Get rid of the advertising clause in the copyright. Add support for using DMA on dump, greatly speeds up the dump process. When IOCATAGPARM is called, update the capabilities page that is stored in the kernel and return the new values. Fix rebuilds of arrays that got stuck. Add dump support in ataraid. Add support for for reading and writing SiS metadata. Add support for writing VIA metadata. Add support for writing Intel metadata. Correct calculation of RAID0 sizes on VIA RAID arrays. Update Intel MatrixRAID support to be able to pick up RAID0+1 (RAID10) Revision Changes Path 1.26.2.2 +4 -4 src/sbin/atacontrol/atacontrol.8 1.36.2.3 +10 -7 src/sbin/atacontrol/atacontrol.c 1.252.2.4 +42 -36 src/sys/dev/ata/ata-all.c 1.103.2.4 +10 -5 src/sys/dev/ata/ata-all.h 1.36.2.1 +12 -16 src/sys/dev/ata/ata-card.c 1.22.2.1 +1 -3 src/sys/dev/ata/ata-cbus.c 1.126.2.7 +1867 -1492 src/sys/dev/ata/ata-chipset.c 1.189.2.2 +16 -40 src/sys/dev/ata/ata-disk.c 1.50.2.1 +1 -3 src/sys/dev/ata/ata-disk.h 1.137.2.2 +12 -12 src/sys/dev/ata/ata-dma.c 1.29.2.1 +1 -3 src/sys/dev/ata/ata-isa.c 1.69.2.3 +31 -17 src/sys/dev/ata/ata-lowlevel.c 1.105.2.2 +65 -27 src/sys/dev/ata/ata-pci.c 1.49.2.5 +47 -23 src/sys/dev/ata/ata-pci.h 1.50.2.2 +29 -10 src/sys/dev/ata/ata-queue.c 1.98.2.5 +780 -120 src/sys/dev/ata/ata-raid.c 1.34.2.2 +103 -61 src/sys/dev/ata/ata-raid.h 1.5.2.1 +1 -3 src/sys/dev/ata/ata_if.m 1.179.2.4 +10 -10 src/sys/dev/ata/atapi-cd.c 1.44.2.1 +1 -3 src/sys/dev/ata/atapi-cd.h 1.102.2.1 +2 -4 src/sys/dev/ata/atapi-fd.c 1.24.2.1 +1 -3 src/sys/dev/ata/atapi-fd.h 1.99.2.1 +2 -4 src/sys/dev/ata/atapi-tape.c 1.23.2.1 +1 -3 src/sys/dev/ata/atapi-tape.h 1.26.2.3 +3 -3 src/sys/sys/ata.h