Skip site navigation (1)Skip section navigation (2)
Date:      Sun, 26 Oct 2008 22:08:36 GMT
From:      Sam Leffler <sam@FreeBSD.org>
To:        Perforce Change Reviews <perforce@freebsd.org>
Subject:   PERFORCE change 151984 for review
Message-ID:  <200810262208.m9QM8aWl066557@repoman.freebsd.org>

next in thread | raw e-mail | index | archive | help
http://perforce.freebsd.org/chv.cgi?CH=151984

Change 151984 by sam@sam_ebb on 2008/10/26 22:08:32

	IFC @ 151983

Affected files ...

.. //depot/projects/vap/Makefile.inc1#17 integrate
.. //depot/projects/vap/etc/periodic/security/200.chkmounts#3 integrate
.. //depot/projects/vap/lib/libc/gen/__xuname.c#3 integrate
.. //depot/projects/vap/lib/libc/gen/posix_spawn.c#3 integrate
.. //depot/projects/vap/lib/libc/sys/mmap.2#3 integrate
.. //depot/projects/vap/lib/libfetch/http.c#5 integrate
.. //depot/projects/vap/release/Makefile#7 integrate
.. //depot/projects/vap/release/doc/en_US.ISO8859-1/hardware/article.sgml#8 integrate
.. //depot/projects/vap/share/man/man4/mmc.4#4 integrate
.. //depot/projects/vap/share/man/man4/mmcsd.4#3 integrate
.. //depot/projects/vap/share/man/man4/sdhci.4#1 branch
.. //depot/projects/vap/share/man/man4/snd_hda.4#8 integrate
.. //depot/projects/vap/share/man/man4/umodem.4#3 integrate
.. //depot/projects/vap/share/man/man9/Makefile#16 integrate
.. //depot/projects/vap/share/man/man9/malloc.9#4 integrate
.. //depot/projects/vap/sys/amd64/amd64/identcpu.c#12 integrate
.. //depot/projects/vap/sys/amd64/amd64/local_apic.c#9 integrate
.. //depot/projects/vap/sys/amd64/include/specialreg.h#11 integrate
.. //depot/projects/vap/sys/arm/arm/undefined.c#6 integrate
.. //depot/projects/vap/sys/arm/conf/BWCT#6 integrate
.. //depot/projects/vap/sys/arm/conf/CRB#6 integrate
.. //depot/projects/vap/sys/arm/conf/DB-78XXX#2 integrate
.. //depot/projects/vap/sys/arm/conf/DB-88F5XXX#2 integrate
.. //depot/projects/vap/sys/arm/conf/DB-88F6XXX#2 integrate
.. //depot/projects/vap/sys/arm/conf/DEFAULTS#1 branch
.. //depot/projects/vap/sys/arm/conf/EP80219#6 integrate
.. //depot/projects/vap/sys/arm/conf/GUMSTIX#2 integrate
.. //depot/projects/vap/sys/arm/conf/HL200#8 integrate
.. //depot/projects/vap/sys/arm/conf/IQ31244#8 integrate
.. //depot/projects/vap/sys/arm/conf/KB920X#10 integrate
.. //depot/projects/vap/sys/arm/conf/NSLU#3 integrate
.. //depot/projects/vap/sys/arm/conf/SIMICS#8 integrate
.. //depot/projects/vap/sys/arm/conf/SKYEYE#6 integrate
.. //depot/projects/vap/sys/cam/scsi/scsi_target.c#7 integrate
.. //depot/projects/vap/sys/compat/freebsd32/freebsd32_misc.c#10 integrate
.. //depot/projects/vap/sys/compat/freebsd32/freebsd32_proto.h#15 integrate
.. //depot/projects/vap/sys/compat/freebsd32/freebsd32_syscall.h#15 integrate
.. //depot/projects/vap/sys/compat/freebsd32/freebsd32_syscalls.c#15 integrate
.. //depot/projects/vap/sys/compat/freebsd32/freebsd32_sysent.c#15 integrate
.. //depot/projects/vap/sys/compat/freebsd32/syscalls.master#15 integrate
.. //depot/projects/vap/sys/compat/linux/linux_ioctl.c#9 integrate
.. //depot/projects/vap/sys/compat/linux/linux_socket.c#9 integrate
.. //depot/projects/vap/sys/compat/linux/linux_util.c#9 integrate
.. //depot/projects/vap/sys/conf/NOTES#30 integrate
.. //depot/projects/vap/sys/conf/files.mips#4 integrate
.. //depot/projects/vap/sys/conf/files.powerpc#17 integrate
.. //depot/projects/vap/sys/contrib/altq/altq/altq_cbq.c#5 integrate
.. //depot/projects/vap/sys/contrib/altq/altq/altq_cdnr.c#5 integrate
.. //depot/projects/vap/sys/contrib/altq/altq/altq_hfsc.c#5 integrate
.. //depot/projects/vap/sys/contrib/altq/altq/altq_priq.c#5 integrate
.. //depot/projects/vap/sys/contrib/altq/altq/altq_red.c#5 integrate
.. //depot/projects/vap/sys/contrib/altq/altq/altq_rio.c#5 integrate
.. //depot/projects/vap/sys/contrib/altq/altq/altq_rmclass.c#3 integrate
.. //depot/projects/vap/sys/contrib/altq/altq/altq_subr.c#8 integrate
.. //depot/projects/vap/sys/contrib/dev/ath/public/wisoc.opt_ah.h#1 branch
.. //depot/projects/vap/sys/contrib/ipfilter/netinet/ip_compat.h#8 integrate
.. //depot/projects/vap/sys/dev/adb/adb.h#1 branch
.. //depot/projects/vap/sys/dev/adb/adb_bus.c#1 branch
.. //depot/projects/vap/sys/dev/adb/adb_hb_if.m#1 branch
.. //depot/projects/vap/sys/dev/adb/adb_if.m#1 branch
.. //depot/projects/vap/sys/dev/adb/adb_kbd.c#1 branch
.. //depot/projects/vap/sys/dev/adb/adb_mouse.c#1 branch
.. //depot/projects/vap/sys/dev/adb/adbvar.h#1 branch
.. //depot/projects/vap/sys/dev/ar/if_ar.c#6 integrate
.. //depot/projects/vap/sys/dev/ata/ata-dma.c#11 integrate
.. //depot/projects/vap/sys/dev/ce/if_ce.c#5 integrate
.. //depot/projects/vap/sys/dev/cfi/cfi_bus_lbc.c#1 branch
.. //depot/projects/vap/sys/dev/cfi/cfi_core.c#1 branch
.. //depot/projects/vap/sys/dev/cfi/cfi_dev.c#1 branch
.. //depot/projects/vap/sys/dev/cfi/cfi_reg.h#1 branch
.. //depot/projects/vap/sys/dev/cfi/cfi_var.h#1 branch
.. //depot/projects/vap/sys/dev/drm/drm_drv.c#10 integrate
.. //depot/projects/vap/sys/dev/drm/drm_fops.c#8 integrate
.. //depot/projects/vap/sys/dev/drm/drm_lock.c#8 integrate
.. //depot/projects/vap/sys/dev/drm/i915_dma.c#9 integrate
.. //depot/projects/vap/sys/dev/hwpmc/hwpmc_amd.c#8 integrate
.. //depot/projects/vap/sys/dev/hwpmc/hwpmc_logging.c#4 integrate
.. //depot/projects/vap/sys/dev/hwpmc/hwpmc_mod.c#8 integrate
.. //depot/projects/vap/sys/dev/hwpmc/hwpmc_piv.c#7 integrate
.. //depot/projects/vap/sys/dev/hwpmc/hwpmc_ppro.c#8 integrate
.. //depot/projects/vap/sys/dev/hwpmc/hwpmc_x86.c#5 integrate
.. //depot/projects/vap/sys/dev/iwn/if_iwn.c#25 integrate
.. //depot/projects/vap/sys/dev/lmc/if_lmc.c#5 integrate
.. //depot/projects/vap/sys/dev/mii/atphy.c#3 integrate
.. //depot/projects/vap/sys/dev/mii/ciphy.c#8 integrate
.. //depot/projects/vap/sys/dev/mii/miidevs#16 integrate
.. //depot/projects/vap/sys/dev/mxge/eth_z8e.h#5 integrate
.. //depot/projects/vap/sys/dev/mxge/ethp_z8e.h#5 integrate
.. //depot/projects/vap/sys/dev/mxge/rss_eth_z8e.h#4 integrate
.. //depot/projects/vap/sys/dev/mxge/rss_ethp_z8e.h#4 integrate
.. //depot/projects/vap/sys/dev/pci/pci.c#11 integrate
.. //depot/projects/vap/sys/dev/pci/pcireg.h#7 integrate
.. //depot/projects/vap/sys/dev/ppbus/if_plip.c#7 integrate
.. //depot/projects/vap/sys/dev/ppbus/immio.c#5 integrate
.. //depot/projects/vap/sys/dev/ppbus/lpbb.c#6 integrate
.. //depot/projects/vap/sys/dev/ppbus/lpt.c#7 integrate
.. //depot/projects/vap/sys/dev/ppbus/pcfclock.c#4 integrate
.. //depot/projects/vap/sys/dev/ppbus/ppb_base.c#3 integrate
.. //depot/projects/vap/sys/dev/ppbus/ppb_msq.c#3 integrate
.. //depot/projects/vap/sys/dev/ppbus/ppbconf.c#8 integrate
.. //depot/projects/vap/sys/dev/ppbus/ppbconf.h#5 integrate
.. //depot/projects/vap/sys/dev/ppbus/ppi.c#6 integrate
.. //depot/projects/vap/sys/dev/ppbus/pps.c#7 integrate
.. //depot/projects/vap/sys/dev/ppbus/vpo.c#5 integrate
.. //depot/projects/vap/sys/dev/ppbus/vpoio.c#5 integrate
.. //depot/projects/vap/sys/dev/ppbus/vpoio.h#3 integrate
.. //depot/projects/vap/sys/dev/ppc/ppc.c#8 integrate
.. //depot/projects/vap/sys/dev/ppc/ppcreg.h#7 integrate
.. //depot/projects/vap/sys/dev/puc/pucdata.c#11 integrate
.. //depot/projects/vap/sys/dev/ray/if_ray.c#6 integrate
.. //depot/projects/vap/sys/dev/ray/if_rayvar.h#6 integrate
.. //depot/projects/vap/sys/dev/sbni/if_sbni.c#6 branch
.. //depot/projects/vap/sys/dev/sbni/if_sbni_isa.c#6 branch
.. //depot/projects/vap/sys/dev/sbni/if_sbni_pci.c#6 branch
.. //depot/projects/vap/sys/dev/sbni/if_sbnireg.h#4 branch
.. //depot/projects/vap/sys/dev/sbni/if_sbnivar.h#6 branch
.. //depot/projects/vap/sys/dev/sdhci/sdhci.c#1 branch
.. //depot/projects/vap/sys/dev/sdhci/sdhci.h#1 branch
.. //depot/projects/vap/sys/dev/sound/pci/hda/hdac.c#13 integrate
.. //depot/projects/vap/sys/dev/sr/if_sr.c#6 integrate
.. //depot/projects/vap/sys/dev/uart/uart_tty.c#6 integrate
.. //depot/projects/vap/sys/dev/usb/u3g.c#2 integrate
.. //depot/projects/vap/sys/dev/usb/ubsa.c#13 integrate
.. //depot/projects/vap/sys/dev/usb/ucom.c#11 integrate
.. //depot/projects/vap/sys/dev/usb/udbp.c#5 integrate
.. //depot/projects/vap/sys/dev/usb/ufoma.c#6 integrate
.. //depot/projects/vap/sys/fs/cd9660/cd9660_node.c#4 integrate
.. //depot/projects/vap/sys/fs/cd9660/cd9660_vfsops.c#6 integrate
.. //depot/projects/vap/sys/fs/cd9660/cd9660_vnops.c#5 integrate
.. //depot/projects/vap/sys/fs/fdescfs/fdesc_vfsops.c#8 integrate
.. //depot/projects/vap/sys/fs/fdescfs/fdesc_vnops.c#13 integrate
.. //depot/projects/vap/sys/fs/fifofs/fifo_vnops.c#9 integrate
.. //depot/projects/vap/sys/fs/hpfs/hpfs_subr.c#3 integrate
.. //depot/projects/vap/sys/fs/hpfs/hpfs_vfsops.c#9 integrate
.. //depot/projects/vap/sys/fs/hpfs/hpfs_vnops.c#9 integrate
.. //depot/projects/vap/sys/fs/msdosfs/msdosfs_denode.c#7 integrate
.. //depot/projects/vap/sys/fs/msdosfs/msdosfs_vnops.c#12 integrate
.. //depot/projects/vap/sys/fs/ntfs/ntfs_subr.c#10 integrate
.. //depot/projects/vap/sys/fs/ntfs/ntfs_vfsops.c#8 integrate
.. //depot/projects/vap/sys/fs/ntfs/ntfs_vnops.c#8 integrate
.. //depot/projects/vap/sys/fs/nullfs/null_subr.c#8 integrate
.. //depot/projects/vap/sys/fs/nullfs/null_vnops.c#10 integrate
.. //depot/projects/vap/sys/fs/nwfs/nwfs_node.c#10 integrate
.. //depot/projects/vap/sys/fs/nwfs/nwfs_vfsops.c#7 integrate
.. //depot/projects/vap/sys/fs/portalfs/portal_vfsops.c#7 integrate
.. //depot/projects/vap/sys/fs/portalfs/portal_vnops.c#10 integrate
.. //depot/projects/vap/sys/fs/pseudofs/pseudofs.c#6 integrate
.. //depot/projects/vap/sys/fs/pseudofs/pseudofs_vncache.c#8 integrate
.. //depot/projects/vap/sys/fs/smbfs/smbfs_node.c#13 integrate
.. //depot/projects/vap/sys/fs/smbfs/smbfs_vfsops.c#8 integrate
.. //depot/projects/vap/sys/fs/udf/udf_vfsops.c#9 integrate
.. //depot/projects/vap/sys/fs/udf/udf_vnops.c#9 integrate
.. //depot/projects/vap/sys/fs/unionfs/union_subr.c#12 integrate
.. //depot/projects/vap/sys/geom/geom_disk.c#8 integrate
.. //depot/projects/vap/sys/geom/part/g_part_bsd.c#7 integrate
.. //depot/projects/vap/sys/geom/part/g_part_vtoc8.c#5 integrate
.. //depot/projects/vap/sys/geom/vinum/geom_vinum.c#6 integrate
.. //depot/projects/vap/sys/geom/vinum/geom_vinum.h#6 integrate
.. //depot/projects/vap/sys/geom/vinum/geom_vinum_drive.c#10 integrate
.. //depot/projects/vap/sys/geom/vinum/geom_vinum_init.c#6 integrate
.. //depot/projects/vap/sys/geom/vinum/geom_vinum_plex.c#6 integrate
.. //depot/projects/vap/sys/geom/vinum/geom_vinum_raid5.c#3 integrate
.. //depot/projects/vap/sys/geom/vinum/geom_vinum_rm.c#6 integrate
.. //depot/projects/vap/sys/geom/vinum/geom_vinum_state.c#6 integrate
.. //depot/projects/vap/sys/geom/vinum/geom_vinum_subr.c#7 integrate
.. //depot/projects/vap/sys/geom/vinum/geom_vinum_volume.c#6 integrate
.. //depot/projects/vap/sys/gnu/fs/ext2fs/ext2_inode.c#4 integrate
.. //depot/projects/vap/sys/gnu/fs/ext2fs/ext2_lookup.c#4 integrate
.. //depot/projects/vap/sys/gnu/fs/ext2fs/ext2_vfsops.c#8 integrate
.. //depot/projects/vap/sys/gnu/fs/reiserfs/reiserfs_inode.c#5 integrate
.. //depot/projects/vap/sys/gnu/fs/reiserfs/reiserfs_vfsops.c#4 integrate
.. //depot/projects/vap/sys/i386/conf/NOTES#19 integrate
.. //depot/projects/vap/sys/i386/i386/identcpu.c#12 integrate
.. //depot/projects/vap/sys/i386/i386/local_apic.c#10 integrate
.. //depot/projects/vap/sys/i386/i386/sys_machdep.c#9 integrate
.. //depot/projects/vap/sys/i386/include/apicvar.h#7 integrate
.. //depot/projects/vap/sys/i386/include/pcpu.h#9 integrate
.. //depot/projects/vap/sys/i386/include/smp.h#10 integrate
.. //depot/projects/vap/sys/i386/include/specialreg.h#10 integrate
.. //depot/projects/vap/sys/i386/include/xen/xen-os.h#4 integrate
.. //depot/projects/vap/sys/i386/include/xen/xen_intr.h#3 integrate
.. //depot/projects/vap/sys/i386/xen/clock.c#4 integrate
.. //depot/projects/vap/sys/i386/xen/exception.s#4 integrate
.. //depot/projects/vap/sys/i386/xen/mp_machdep.c#5 integrate
.. //depot/projects/vap/sys/i386/xen/xen_machdep.c#8 integrate
.. //depot/projects/vap/sys/ia64/ia64/sscdisk.c#7 integrate
.. //depot/projects/vap/sys/kern/kern_descrip.c#20 integrate
.. //depot/projects/vap/sys/kern/kern_event.c#11 integrate
.. //depot/projects/vap/sys/kern/kern_jail.c#15 integrate
.. //depot/projects/vap/sys/kern/kern_linker.c#12 integrate
.. //depot/projects/vap/sys/kern/kern_lockf.c#11 integrate
.. //depot/projects/vap/sys/kern/kern_mtxpool.c#6 integrate
.. //depot/projects/vap/sys/kern/kern_proc.c#14 integrate
.. //depot/projects/vap/sys/kern/kern_prot.c#7 integrate
.. //depot/projects/vap/sys/kern/kern_resource.c#10 integrate
.. //depot/projects/vap/sys/kern/kern_sig.c#15 integrate
.. //depot/projects/vap/sys/kern/kern_thr.c#10 integrate
.. //depot/projects/vap/sys/kern/kern_thread.c#16 integrate
.. //depot/projects/vap/sys/kern/subr_blist.c#4 integrate
.. //depot/projects/vap/sys/kern/subr_rman.c#8 integrate
.. //depot/projects/vap/sys/kern/subr_sleepqueue.c#17 integrate
.. //depot/projects/vap/sys/kern/subr_witness.c#22 integrate
.. //depot/projects/vap/sys/kern/sys_process.c#9 integrate
.. //depot/projects/vap/sys/kern/uipc_accf.c#6 integrate
.. //depot/projects/vap/sys/kern/uipc_mqueue.c#8 integrate
.. //depot/projects/vap/sys/kern/uipc_syscalls.c#14 integrate
.. //depot/projects/vap/sys/kern/uipc_usrreq.c#11 integrate
.. //depot/projects/vap/sys/kern/vfs_export.c#8 integrate
.. //depot/projects/vap/sys/kern/vfs_syscalls.c#13 integrate
.. //depot/projects/vap/sys/mips/conf/ADM5120#4 integrate
.. //depot/projects/vap/sys/mips/conf/DEFAULTS#1 branch
.. //depot/projects/vap/sys/mips/conf/IDT#6 integrate
.. //depot/projects/vap/sys/mips/conf/MALTA#4 integrate
.. //depot/projects/vap/sys/mips/conf/QEMU#3 integrate
.. //depot/projects/vap/sys/mips/conf/SENTRY5#6 integrate
.. //depot/projects/vap/sys/modules/Makefile#42 integrate
.. //depot/projects/vap/sys/modules/sbni/Makefile#4 branch
.. //depot/projects/vap/sys/modules/sdhci/Makefile#1 branch
.. //depot/projects/vap/sys/modules/u3g/Makefile#2 integrate
.. //depot/projects/vap/sys/net/bpf.c#21 integrate
.. //depot/projects/vap/sys/net/bsd_comp.c#5 integrate
.. //depot/projects/vap/sys/net/if.c#18 integrate
.. //depot/projects/vap/sys/net/if_arcsubr.c#5 integrate
.. //depot/projects/vap/sys/net/if_ethersubr.c#13 integrate
.. //depot/projects/vap/sys/net/if_fddisubr.c#7 integrate
.. //depot/projects/vap/sys/net/if_iso88025subr.c#8 integrate
.. //depot/projects/vap/sys/net/if_ppp.c#9 integrate
.. //depot/projects/vap/sys/net/if_sl.c#6 integrate
.. //depot/projects/vap/sys/net/if_tap.c#11 integrate
.. //depot/projects/vap/sys/net/if_tun.c#10 integrate
.. //depot/projects/vap/sys/net/ppp_deflate.c#5 integrate
.. //depot/projects/vap/sys/net/rtsock.c#13 integrate
.. //depot/projects/vap/sys/net80211/_ieee80211.h#12 integrate
.. //depot/projects/vap/sys/net80211/ieee80211.c#53 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_acl.c#11 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_adhoc.c#19 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_crypto.c#22 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_crypto_ccmp.c#14 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_crypto_tkip.c#17 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_crypto_wep.c#14 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_freebsd.c#36 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_freebsd.h#38 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_hostap.c#27 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_ht.c#55 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_ht.h#24 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_input.c#34 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_ioctl.c#68 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_node.c#51 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_node.h#31 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_output.c#63 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_power.c#14 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_power.h#9 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_proto.c#35 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_proto.h#27 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_regdomain.c#15 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_scan.c#25 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_scan_sta.c#29 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_sta.c#22 integrate
.. //depot/projects/vap/sys/net80211/ieee80211_var.h#54 integrate
.. //depot/projects/vap/sys/netatalk/ddp_pcb.c#5 integrate
.. //depot/projects/vap/sys/netgraph/atm/sscfu/ng_sscfu_cust.h#3 integrate
.. //depot/projects/vap/sys/netgraph/bluetooth/drivers/h4/ng_h4.c#5 integrate
.. //depot/projects/vap/sys/netgraph/bluetooth/hci/ng_hci_main.c#3 integrate
.. //depot/projects/vap/sys/netgraph/bluetooth/hci/ng_hci_misc.c#3 integrate
.. //depot/projects/vap/sys/netgraph/bluetooth/l2cap/ng_l2cap_main.c#3 integrate
.. //depot/projects/vap/sys/netgraph/bluetooth/l2cap/ng_l2cap_misc.c#5 integrate
.. //depot/projects/vap/sys/netgraph/bluetooth/l2cap/ng_l2cap_misc.h#5 integrate
.. //depot/projects/vap/sys/netgraph/bluetooth/socket/ng_btsocket_hci_raw.c#6 integrate
.. //depot/projects/vap/sys/netgraph/bluetooth/socket/ng_btsocket_l2cap.c#7 integrate
.. //depot/projects/vap/sys/netgraph/bluetooth/socket/ng_btsocket_l2cap_raw.c#6 integrate
.. //depot/projects/vap/sys/netgraph/bluetooth/socket/ng_btsocket_rfcomm.c#7 integrate
.. //depot/projects/vap/sys/netgraph/bluetooth/socket/ng_btsocket_sco.c#2 integrate
.. //depot/projects/vap/sys/netgraph/netflow/netflow.c#10 integrate
.. //depot/projects/vap/sys/netgraph/netflow/ng_netflow.c#10 integrate
.. //depot/projects/vap/sys/netgraph/netgraph.h#12 integrate
.. //depot/projects/vap/sys/netgraph/ng_UI.c#3 integrate
.. //depot/projects/vap/sys/netgraph/ng_async.c#3 integrate
.. //depot/projects/vap/sys/netgraph/ng_atmllc.c#3 integrate
.. //depot/projects/vap/sys/netgraph/ng_base.c#24 integrate
.. //depot/projects/vap/sys/netgraph/ng_bpf.c#8 integrate
.. //depot/projects/vap/sys/netgraph/ng_bridge.c#5 integrate
.. //depot/projects/vap/sys/netgraph/ng_cisco.c#6 integrate
.. //depot/projects/vap/sys/netgraph/ng_device.c#7 integrate
.. //depot/projects/vap/sys/netgraph/ng_eiface.c#8 integrate
.. //depot/projects/vap/sys/netgraph/ng_etf.c#4 integrate
.. //depot/projects/vap/sys/netgraph/ng_ether.c#7 integrate
.. //depot/projects/vap/sys/netgraph/ng_fec.c#6 integrate
.. //depot/projects/vap/sys/netgraph/ng_frame_relay.c#5 integrate
.. //depot/projects/vap/sys/netgraph/ng_gif.c#8 integrate
.. //depot/projects/vap/sys/netgraph/ng_gif_demux.c#3 integrate
.. //depot/projects/vap/sys/netgraph/ng_hole.c#5 integrate
.. //depot/projects/vap/sys/netgraph/ng_iface.c#10 integrate
.. //depot/projects/vap/sys/netgraph/ng_ipfw.c#5 integrate
.. //depot/projects/vap/sys/netgraph/ng_ksocket.c#7 integrate
.. //depot/projects/vap/sys/netgraph/ng_l2tp.c#9 integrate
.. //depot/projects/vap/sys/netgraph/ng_lmi.c#5 integrate
.. //depot/projects/vap/sys/netgraph/ng_message.h#6 integrate
.. //depot/projects/vap/sys/netgraph/ng_mppc.c#5 integrate
.. //depot/projects/vap/sys/netgraph/ng_nat.c#5 integrate
.. //depot/projects/vap/sys/netgraph/ng_one2many.c#4 integrate
.. //depot/projects/vap/sys/netgraph/ng_parse.c#6 integrate
.. //depot/projects/vap/sys/netgraph/ng_pipe.c#2 integrate
.. //depot/projects/vap/sys/netgraph/ng_ppp.c#7 integrate
.. //depot/projects/vap/sys/netgraph/ng_pptpgre.c#7 integrate
.. //depot/projects/vap/sys/netgraph/ng_rfc1490.c#3 integrate
.. //depot/projects/vap/sys/netgraph/ng_sample.c#4 integrate
.. //depot/projects/vap/sys/netgraph/ng_split.c#6 integrate
.. //depot/projects/vap/sys/netgraph/ng_sppp.c#6 integrate
.. //depot/projects/vap/sys/netgraph/ng_tag.c#3 integrate
.. //depot/projects/vap/sys/netgraph/ng_tcpmss.c#3 integrate
.. //depot/projects/vap/sys/netgraph/ng_tee.c#7 integrate
.. //depot/projects/vap/sys/netgraph/ng_tty.c#6 integrate
.. //depot/projects/vap/sys/netgraph/ng_vjc.c#5 integrate
.. //depot/projects/vap/sys/netgraph/ng_vlan.c#6 integrate
.. //depot/projects/vap/sys/netinet/igmp.c#8 integrate
.. //depot/projects/vap/sys/netinet/in.c#10 integrate
.. //depot/projects/vap/sys/netinet/in_mcast.c#7 integrate
.. //depot/projects/vap/sys/netinet/in_pcb.c#22 integrate
.. //depot/projects/vap/sys/netinet/ip_carp.c#10 integrate
.. //depot/projects/vap/sys/netinet/sctp_os_bsd.h#8 integrate
.. //depot/projects/vap/sys/netinet/tcp_input.c#16 integrate
.. //depot/projects/vap/sys/netinet/tcp_sack.c#9 integrate
.. //depot/projects/vap/sys/netinet/tcp_syncache.c#17 integrate
.. //depot/projects/vap/sys/netinet6/in6.c#12 integrate
.. //depot/projects/vap/sys/netinet6/in6_pcb.c#13 integrate
.. //depot/projects/vap/sys/netinet6/in6_src.c#13 integrate
.. //depot/projects/vap/sys/netinet6/raw_ip6.c#16 integrate
.. //depot/projects/vap/sys/netipsec/keysock.c#8 integrate
.. //depot/projects/vap/sys/netipsec/xform_ah.c#8 integrate
.. //depot/projects/vap/sys/netipx/ipx_pcb.c#5 integrate
.. //depot/projects/vap/sys/netipx/spx_usrreq.c#7 integrate
.. //depot/projects/vap/sys/netnatm/natm.c#5 integrate
.. //depot/projects/vap/sys/netnatm/natm_pcb.c#5 integrate
.. //depot/projects/vap/sys/netncp/ncp_conn.c#8 integrate
.. //depot/projects/vap/sys/netncp/ncp_rq.c#6 integrate
.. //depot/projects/vap/sys/netncp/ncp_sock.c#7 integrate
.. //depot/projects/vap/sys/netncp/ncp_subr.c#3 integrate
.. //depot/projects/vap/sys/netsmb/smb_rq.c#6 integrate
.. //depot/projects/vap/sys/netsmb/smb_trantcp.c#7 integrate
.. //depot/projects/vap/sys/nfs4client/nfs4_dev.c#5 integrate
.. //depot/projects/vap/sys/nfs4client/nfs4_idmap.c#5 integrate
.. //depot/projects/vap/sys/nfs4client/nfs4_socket.c#4 integrate
.. //depot/projects/vap/sys/nfs4client/nfs4_vfsops.c#12 integrate
.. //depot/projects/vap/sys/nfs4client/nfs4_vn_subs.c#5 integrate
.. //depot/projects/vap/sys/nfs4client/nfs4_vnops.c#14 integrate
.. //depot/projects/vap/sys/nfsclient/krpc_subr.c#7 integrate
.. //depot/projects/vap/sys/nfsclient/nfs_lock.c#7 integrate
.. //depot/projects/vap/sys/nfsclient/nfs_node.c#9 integrate
.. //depot/projects/vap/sys/nfsclient/nfs_socket.c#10 integrate
.. //depot/projects/vap/sys/nfsclient/nfs_subs.c#12 integrate
.. //depot/projects/vap/sys/nfsclient/nfs_vfsops.c#19 integrate
.. //depot/projects/vap/sys/nfsclient/nfs_vnops.c#18 integrate
.. //depot/projects/vap/sys/nfsserver/nfs_serv.c#14 integrate
.. //depot/projects/vap/sys/nfsserver/nfs_srvcache.c#6 integrate
.. //depot/projects/vap/sys/nfsserver/nfs_srvsock.c#9 integrate
.. //depot/projects/vap/sys/nfsserver/nfs_syscalls.c#9 integrate
.. //depot/projects/vap/sys/opencrypto/cryptodev.c#7 integrate
.. //depot/projects/vap/sys/opencrypto/cryptosoft.c#6 integrate
.. //depot/projects/vap/sys/opencrypto/deflate.c#5 integrate
.. //depot/projects/vap/sys/opencrypto/xform.c#6 integrate
.. //depot/projects/vap/sys/pci/if_rl.c#9 integrate
.. //depot/projects/vap/sys/pci/if_rlreg.h#14 integrate
.. //depot/projects/vap/sys/powerpc/booke/pmap.c#7 integrate
.. //depot/projects/vap/sys/powerpc/conf/GENERIC#14 integrate
.. //depot/projects/vap/sys/powerpc/conf/MPC85XX#5 integrate
.. //depot/projects/vap/sys/powerpc/mpc85xx/lbc.c#1 branch
.. //depot/projects/vap/sys/powerpc/mpc85xx/lbc.h#1 branch
.. //depot/projects/vap/sys/powerpc/mpc85xx/ocpbus.c#4 integrate
.. //depot/projects/vap/sys/powerpc/powermac/cuda.c#1 branch
.. //depot/projects/vap/sys/powerpc/powermac/cudavar.h#1 branch
.. //depot/projects/vap/sys/powerpc/powermac/macgpio.c#1 branch
.. //depot/projects/vap/sys/powerpc/powermac/macgpiovar.h#1 branch
.. //depot/projects/vap/sys/powerpc/powermac/macio.c#9 integrate
.. //depot/projects/vap/sys/powerpc/powermac/pmu.c#1 branch
.. //depot/projects/vap/sys/powerpc/powermac/pmuvar.h#1 branch
.. //depot/projects/vap/sys/powerpc/powermac/viareg.h#1 branch
.. //depot/projects/vap/sys/rpc/rpcclnt.c#9 integrate
.. //depot/projects/vap/sys/security/mac_bsdextended/mac_bsdextended.c#11 integrate
.. //depot/projects/vap/sys/security/mac_lomac/mac_lomac.c#11 integrate
.. //depot/projects/vap/sys/sys/cdefs.h#10 integrate
.. //depot/projects/vap/sys/sys/cfictl.h#1 branch
.. //depot/projects/vap/sys/sys/malloc.h#6 integrate
.. //depot/projects/vap/sys/sys/param.h#32 integrate
.. //depot/projects/vap/sys/sys/proc.h#24 integrate
.. //depot/projects/vap/sys/sys/syscallsubr.h#10 integrate
.. //depot/projects/vap/sys/ufs/ffs/ffs_inode.c#9 integrate
.. //depot/projects/vap/sys/ufs/ffs/ffs_snapshot.c#13 integrate
.. //depot/projects/vap/sys/ufs/ffs/ffs_softdep.c#14 integrate
.. //depot/projects/vap/sys/ufs/ffs/ffs_vfsops.c#19 integrate
.. //depot/projects/vap/sys/ufs/ufs/ufs_dirhash.c#8 integrate
.. //depot/projects/vap/sys/ufs/ufs/ufs_extattr.c#10 integrate
.. //depot/projects/vap/sys/ufs/ufs/ufs_vnops.c#12 integrate
.. //depot/projects/vap/sys/vm/vm_mmap.c#15 integrate
.. //depot/projects/vap/sys/xen/evtchn/evtchn.c#4 integrate
.. //depot/projects/vap/usr.sbin/nscd/agents/group.c#3 integrate
.. //depot/projects/vap/usr.sbin/nscd/agents/passwd.c#3 integrate
.. //depot/projects/vap/usr.sbin/nscd/agents/services.c#3 integrate
.. //depot/projects/vap/usr.sbin/nscd/cachelib.c#4 integrate
.. //depot/projects/vap/usr.sbin/nscd/nscd.c#4 integrate
.. //depot/projects/vap/usr.sbin/nscd/nscdcli.c#4 integrate
.. //depot/projects/vap/usr.sbin/pciconf/pciconf.c#4 integrate
.. //depot/projects/vap/usr.sbin/sysinstall/package.c#5 integrate

Differences ...

==== //depot/projects/vap/Makefile.inc1#17 (text+ko) ====

@@ -1,5 +1,5 @@
 #
-# $FreeBSD: src/Makefile.inc1,v 1.611 2008/09/19 16:14:42 obrien Exp $
+# $FreeBSD: src/Makefile.inc1,v 1.613 2008/10/23 16:06:49 des Exp $
 #
 # Make command line options:
 #	-DNO_CLEANDIR run ${MAKE} clean, instead of ${MAKE} cleandir

==== //depot/projects/vap/etc/periodic/security/200.chkmounts#3 (text+ko) ====

@@ -24,7 +24,7 @@
 # OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF
 # SUCH DAMAGE.
 #
-# $FreeBSD: src/etc/periodic/security/200.chkmounts,v 1.5 2002/10/25 15:14:16 thomas Exp $
+# $FreeBSD: src/etc/periodic/security/200.chkmounts,v 1.6 2008/10/25 18:45:40 ed Exp $
 #
 
 # Show changes in the way filesystems are mounted
@@ -50,7 +50,7 @@
 		ignore="${ignore}|^amd:"
 	esac
 	[ -n "$ignore" ] && cmd="egrep -v ${ignore#|}" || cmd=cat
-	mount -p | ${cmd} |
+	mount -p | sort | ${cmd} |
 	  check_diff mount - "${host} changes in mounted filesystems:"
 	rc=$?;;
     *)	rc=0;;

==== //depot/projects/vap/lib/libc/gen/__xuname.c#3 (text+ko) ====

@@ -31,7 +31,7 @@
 /*static char sccsid[] = "From: @(#)uname.c	8.1 (Berkeley) 1/4/94";*/
 #endif /* LIBC_SCCS and not lint */
 #include <sys/cdefs.h>
-__FBSDID("$FreeBSD: src/lib/libc/gen/__xuname.c,v 1.13 2007/01/09 00:27:52 imp Exp $");
+__FBSDID("$FreeBSD: src/lib/libc/gen/__xuname.c,v 1.15 2008/10/22 21:56:57 kib Exp $");
 
 #include <sys/param.h>
 #include <sys/sysctl.h>
@@ -45,94 +45,102 @@
 {
 	int mib[2], rval;
 	size_t len;
-	char *p;
+	char *p, *q;
 	int oerrno;
-	struct xutsname {
-		char	sysname[namesize];	/* Name of this OS. */
-		char	nodename[namesize];	/* Name of this network node. */
-		char	release[namesize];	/* Release level. */
-		char	version[namesize];	/* Version level. */
-		char	machine[namesize];	/* Hardware type. */
-	} *name;
 
-	name = (struct xutsname *)namebuf;
 	rval = 0;
+	q = (char *)namebuf;
 
 	mib[0] = CTL_KERN;
-	mib[1] = KERN_OSTYPE;
-	len = sizeof(name->sysname);
-	oerrno = errno;
-	if (sysctl(mib, 2, &name->sysname, &len, NULL, 0) == -1) {
-		if(errno == ENOMEM)
-			errno = oerrno;
-		else
-			rval = -1;
+
+	if ((p = getenv("UNAME_s")))
+		strlcpy(q, p, namesize);
+	else {
+		mib[1] = KERN_OSTYPE;
+		len = namesize;
+		oerrno = errno;
+		if (sysctl(mib, 2, q, &len, NULL, 0) == -1) {
+			if (errno == ENOMEM)
+				errno = oerrno;
+			else
+				rval = -1;
+		}
+		q[namesize - 1] = '\0';
 	}
-	name->sysname[sizeof(name->sysname) - 1] = '\0';
-	if ((p = getenv("UNAME_s")))
-		strlcpy(name->sysname, p, sizeof(name->sysname));
+	q += namesize;
 
-	mib[0] = CTL_KERN;
 	mib[1] = KERN_HOSTNAME;
-	len = sizeof(name->nodename);
+	len = namesize;
 	oerrno = errno;
-	if (sysctl(mib, 2, &name->nodename, &len, NULL, 0) == -1) {
-		if(errno == ENOMEM)
+	if (sysctl(mib, 2, q, &len, NULL, 0) == -1) {
+		if (errno == ENOMEM)
 			errno = oerrno;
 		else
 			rval = -1;
 	}
-	name->nodename[sizeof(name->nodename) - 1] = '\0';
+	q[namesize - 1] = '\0';
+	q += namesize;
 
-	mib[0] = CTL_KERN;
-	mib[1] = KERN_OSRELEASE;
-	len = sizeof(name->release);
-	oerrno = errno;
-	if (sysctl(mib, 2, &name->release, &len, NULL, 0) == -1) {
-		if(errno == ENOMEM)
-			errno = oerrno;
-		else
-			rval = -1;
-	}
-	name->release[sizeof(name->release) - 1] = '\0';
 	if ((p = getenv("UNAME_r")))
-		strlcpy(name->release, p, sizeof(name->release));
-
-	/* The version may have newlines in it, turn them into spaces. */
-	mib[0] = CTL_KERN;
-	mib[1] = KERN_VERSION;
-	len = sizeof(name->version);
-	oerrno = errno;
-	if (sysctl(mib, 2, &name->version, &len, NULL, 0) == -1) {
-		if (errno == ENOMEM)
-			errno = oerrno;
-		else
-			rval = -1;
-	}
-	name->version[sizeof(name->version) - 1] = '\0';
-	for (p = name->version; len--; ++p) {
-		if (*p == '\n' || *p == '\t') {
-			if (len > 1)
-				*p = ' ';
+		strlcpy(q, p, namesize);
+	else {
+		mib[1] = KERN_OSRELEASE;
+		len = namesize;
+		oerrno = errno;
+		if (sysctl(mib, 2, q, &len, NULL, 0) == -1) {
+			if (errno == ENOMEM)
+				errno = oerrno;
 			else
-				*p = '\0';
+				rval = -1;
 		}
+		q[namesize - 1] = '\0';
 	}
+	q += namesize;
+
 	if ((p = getenv("UNAME_v")))
-		strlcpy(name->version, p, sizeof(name->version));
+		strlcpy(q, p, namesize);
+	else {
 
-	mib[0] = CTL_HW;
-	mib[1] = HW_MACHINE;
-	len = sizeof(name->machine);
-	oerrno = errno;
-	if (sysctl(mib, 2, &name->machine, &len, NULL, 0) == -1) {
-		if (errno == ENOMEM)
-			errno = oerrno;
-		else
-			rval = -1;
+		/*
+		 * The version may have newlines in it, turn them into
+		 * spaces.
+		 */
+		mib[1] = KERN_VERSION;
+		len = namesize;
+		oerrno = errno;
+		if (sysctl(mib, 2, q, &len, NULL, 0) == -1) {
+			if (errno == ENOMEM)
+				errno = oerrno;
+			else
+				rval = -1;
+		}
+		q[namesize - 1] = '\0';
+		for (p = q; len--; ++p) {
+			if (*p == '\n' || *p == '\t') {
+				if (len > 1)
+					*p = ' ';
+				else
+					*p = '\0';
+			}
+		}
 	}
-	name->machine[sizeof(name->machine) - 1] = '\0';
+	q += namesize;
+
 	if ((p = getenv("UNAME_m")))
-		strlcpy(name->machine, p, sizeof(name->machine));
+		strlcpy(q, p, namesize);
+	else {
+		mib[0] = CTL_HW;
+		mib[1] = HW_MACHINE;
+		len = namesize;
+		oerrno = errno;
+		if (sysctl(mib, 2, q, &len, NULL, 0) == -1) {
+			if (errno == ENOMEM)
+				errno = oerrno;
+			else
+				rval = -1;
+		}
+		q[namesize - 1] = '\0';
+	}
+
 	return (rval);
 }

==== //depot/projects/vap/lib/libc/gen/posix_spawn.c#3 (text+ko) ====

@@ -25,7 +25,7 @@
  */
 
 #include <sys/cdefs.h>
-__FBSDID("$FreeBSD: src/lib/libc/gen/posix_spawn.c,v 1.5 2008/06/23 05:22:06 ed Exp $");
+__FBSDID("$FreeBSD: src/lib/libc/gen/posix_spawn.c,v 1.6 2008/10/23 15:32:06 rdivacky Exp $");
 
 #include "namespace.h"
 #include <sys/queue.h>
@@ -444,7 +444,7 @@
 }
 
 int
-posix_spawnattr_setschedparam(posix_spawnattr_t *sa __restrict,
+posix_spawnattr_setschedparam(posix_spawnattr_t * __restrict sa,
     const struct sched_param * __restrict schedparam)
 {
 	(*sa)->sa_schedparam = *schedparam;

==== //depot/projects/vap/lib/libc/sys/mmap.2#3 (text+ko) ====

@@ -26,9 +26,9 @@
 .\" SUCH DAMAGE.
 .\"
 .\"	@(#)mmap.2	8.4 (Berkeley) 5/11/95
-.\" $FreeBSD: src/lib/libc/sys/mmap.2,v 1.56 2007/01/09 00:28:15 imp Exp $
+.\" $FreeBSD: src/lib/libc/sys/mmap.2,v 1.57 2008/10/24 14:40:13 alc Exp $
 .\"
-.Dd April 21, 2006
+.Dd October 24, 2008
 .Dt MMAP 2
 .Os
 .Sh NAME
@@ -252,6 +252,11 @@
 in which
 the file descriptor argument specifies a file or device to which swapping
 should be done.
+.Sh NOTES
+Although this implementation does not impose any alignment restrictions on
+the
+.Fa offset
+argument, a portable program must only use page-aligned values.
 .Sh RETURN VALUES
 Upon successful completion,
 .Fn mmap
@@ -307,13 +312,6 @@
 was specified and the
 .Fa fd
 argument was not -1.
-The
-.Fa offset
-argument
-was not page-aligned.
-(See
-.Sx BUGS
-below.)
 .It Bq Er ENODEV
 .Dv MAP_ANON
 has not been specified and

==== //depot/projects/vap/lib/libfetch/http.c#5 (text+ko) ====

@@ -27,7 +27,7 @@
  */
 
 #include <sys/cdefs.h>
-__FBSDID("$FreeBSD: src/lib/libfetch/http.c,v 1.84 2008/02/08 09:48:48 des Exp $");
+__FBSDID("$FreeBSD: src/lib/libfetch/http.c,v 1.85 2008/10/24 07:56:01 ru Exp $");
 
 /*
  * The following copyright applies to the base64 code:
@@ -1064,6 +1064,7 @@
 			if (url->offset == size && url->length == 0) {
 				/* asked for 0 bytes; fake it */
 				offset = url->offset;
+				clength = -1;
 				conn->err = HTTP_OK;
 				break;
 			} else {

==== //depot/projects/vap/release/Makefile#7 (text+ko) ====

@@ -1,4 +1,4 @@
-# $FreeBSD: src/release/Makefile,v 1.935 2008/10/14 02:13:12 kensmith Exp $
+# $FreeBSD: src/release/Makefile,v 1.937 2008/10/21 23:36:28 kensmith Exp $
 #
 # make release [BUILDNAME=somename] CHROOTDIR=/some/dir CVSROOT=/cvs/dir \
 #     [RELEASETAG=tag]
@@ -957,13 +957,13 @@
 	@rm -f ${CD_LIVEFS}/boot/device.hints
 	@cp ${RD}/trees/base/boot/device.hints ${CD_LIVEFS}/boot/device.hints
 .endif
+	@echo "CD_VERSION = ${BUILDNAME}" > ${CD_LIVEFS}/cdrom.inf
 .if defined(MAKE_DVD)
 	@cp -Rp ${RD}/kernels/GENERIC/ ${CD_DVD1}/boot/kernel
 	@rm -f ${CD_DVD1}/boot/kernel/*.symbols
 	@rm -f ${CD_DVD1}/.profile
 	@cp ${.CURDIR}/fixit.profile ${CD_DVD1}/.profile
 	@ln -sf /rescue ${CD_DVD1}/stand
-	@echo "CD_VERSION = ${BUILDNAME}" > ${CD_LIVEFS}/cdrom.inf
 	@echo "CD_VERSION = ${BUILDNAME}" > ${CD_DVD1}/cdrom.inf
 	@rm -f ${CD_DVD1}/boot/loader.conf
 	@cp ${RD}/mfsroot/mfsroot.gz ${CD_DVD1}/boot/mfsroot.gz
@@ -1033,7 +1033,7 @@
 	@echo "CD_VOLUME = 1" >> ${CD_DVD1}/cdrom.inf
 .endif
 .if !defined(NODOC)
-	echo "Building CDROM docs filesystem image"
+	@echo "Building CDROM docs filesystem image"
 	@mkdir -p ${CD_DOCS}
 	@echo "CD_VERSION = ${BUILDNAME}" > ${CD_DOCS}/cdrom.inf
 	@mkdir -p ${CD_DOCS}/usr/share/doc

==== //depot/projects/vap/release/doc/en_US.ISO8859-1/hardware/article.sgml#8 (text+ko) ====

@@ -17,7 +17,7 @@
 
     <corpauthor>The &os; Documentation Project</corpauthor>
 
-    <pubdate>$FreeBSD: src/release/doc/en_US.ISO8859-1/hardware/article.sgml,v 1.340 2008/10/13 21:02:19 brueffer Exp $</pubdate>
+    <pubdate>$FreeBSD: src/release/doc/en_US.ISO8859-1/hardware/article.sgml,v 1.341 2008/10/23 10:38:04 marck Exp $</pubdate>
 
     <copyright>
       <year>2000</year>
@@ -90,7 +90,7 @@
 	</listitem>
 
 	<listitem>
-	  <para>&intel; 64-bit &xeon; (<quote>Nacona</quote>).  This
+	  <para>&intel; 64-bit &xeon; (<quote>Nocona</quote>).  This
 	    processor is fabricated on 90nm process technology, and
 	    operates with 2.80 to 3.60 GHz (FSB 800MHz) and &intel;
 	    E7520/E7525/E7320 chipsets.</para>

==== //depot/projects/vap/share/man/man4/mmc.4#4 (text+ko) ====

@@ -22,7 +22,7 @@
 .\" OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF
 .\" SUCH DAMAGE.
 .\"
-.\" $FreeBSD: src/share/man/man4/mmc.4,v 1.2 2008/10/08 18:27:54 mav Exp $
+.\" $FreeBSD: src/share/man/man4/mmc.4,v 1.3 2008/10/22 09:04:05 mav Exp $
 .\"
 .Dd October 8, 2008
 .Dt MMC 4
@@ -48,7 +48,8 @@
 SD Cards exist as memory, I/O, or combination cards.
 .Sh SEE ALSO
 .Xr mmcsd 4 ,
-.Xr at91_mci 4
+.Xr at91_mci 4 ,
+.Xr sdhci 4
 .Rs
 .%T "SD Specifications, Part 1, Physical Layer, Simplified Specification"
 .Re

==== //depot/projects/vap/share/man/man4/mmcsd.4#3 (text+ko) ====

@@ -22,30 +22,27 @@
 .\" OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF
 .\" SUCH DAMAGE.
 .\"
-.\" $FreeBSD: src/share/man/man4/mmcsd.4,v 1.1 2007/05/27 05:01:19 imp Exp $
+.\" $FreeBSD: src/share/man/man4/mmcsd.4,v 1.4 2008/10/22 09:11:35 mav Exp $
 .\"
-.Dd May 26, 2007
+.Dd October 22, 2008
 .Dt MMCSD 4
 .Os
 .Sh NAME
 .Nm mmcsd
-.Nd MMC and SD card driver.
+.Nd MMC and SD memory card driver.
 .Sh SYNOPSIS
 .Cd device mmcsd
 .Sh DESCRIPTION
 The
 .Nm
-driver implements the MMC and SD memory cards.
+driver implements direct access block device for MMC and SD memory cards.
 .Sh SEE ALSO
 .Xr mmc 4 ,
-.Xr at91_mci 4
+.Xr at91_mci 4 ,
+.Xr sdhci 4
 .Rs
 .%T "SD Specifications, Part 1, Physical Layer, Simplified Specification"
 .Re
 .Rs
 .%T "The MultiMediaCard System Specification"
 .Re
-.Sh BUGS
-Memory MultMediaCards do not currently work.
-SDIO cards currently do not work.
-SDHC cards currently do not work.

==== //depot/projects/vap/share/man/man4/snd_hda.4#8 (text+ko) ====

@@ -23,9 +23,9 @@
 .\" OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF
 .\" SUCH DAMAGE.
 .\"
-.\" $FreeBSD: src/share/man/man4/snd_hda.4,v 1.21 2008/10/03 09:19:07 mav Exp $
+.\" $FreeBSD: src/share/man/man4/snd_hda.4,v 1.23 2008/10/24 18:57:11 mav Exp $
 .\"
-.Dd Sep 17, 2008
+.Dd October 24, 2008
 .Dt SND_HDA 4
 .Os
 .Sh NAME
@@ -129,6 +129,8 @@
 GPIO combination required for your system.
 ivrefX/ovrefX options controls voltage used to power external microphones
 and so on.
+.It Va hint.hdac.%d.msi
+Controls MSI (Message Signaled Interrupts) support.
 .It Va hint.hdac.%d.cad%d.nid%d.config
 Overrides codec pin configuration set by BIOS.
 May be specified as a 32bit HEX value with a leading "0x" or as a set of

==== //depot/projects/vap/share/man/man4/umodem.4#3 (text+ko) ====

@@ -34,7 +34,7 @@
 .\" ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
 .\" POSSIBILITY OF SUCH DAMAGE.
 .\"
-.\" $FreeBSD: src/share/man/man4/umodem.4,v 1.10 2007/08/21 13:20:13 sanpei Exp $
+.\" $FreeBSD: src/share/man/man4/umodem.4,v 1.11 2008/10/22 21:46:59 n_hibma Exp $
 .\"
 .Dd November 22, 2006
 .Dt UMODEM 4
@@ -96,6 +96,8 @@
 Yamaha Broadband Wireless Router RTW65b
 .It
 ELSA MicroLink 56k USB modem
+.It
+Sony Ericsson W810i phone
 .El
 .Sh SEE ALSO
 .Xr tty 4 ,

==== //depot/projects/vap/share/man/man9/Makefile#16 (text+ko) ====

@@ -1,4 +1,4 @@
-# $FreeBSD: src/share/man/man9/Makefile,v 1.342 2008/09/28 20:15:45 ed Exp $
+# $FreeBSD: src/share/man/man9/Makefile,v 1.343 2008/10/23 15:53:51 des Exp $
 
 MAN=	accept_filter.9 \
 	accf_data.9 \
@@ -741,9 +741,7 @@
 MLINKS+=make_dev.9 destroy_dev.9 \
 	make_dev.9 dev_depends.9 \
 	make_dev.9 make_dev_alias.9
-MLINKS+=malloc.9 FREE.9 \
-	malloc.9 free.9 \
-	malloc.9 MALLOC.9 \
+MLINKS+=malloc.9 free.9 \
 	malloc.9 MALLOC_DECLARE.9 \
 	malloc.9 MALLOC_DEFINE.9 \
 	malloc.9 realloc.9 \

==== //depot/projects/vap/share/man/man9/malloc.9#4 (text+ko) ====

@@ -34,16 +34,14 @@
 .\" POSSIBILITY OF SUCH DAMAGE.
 .\"
 .\" $NetBSD: malloc.9,v 1.3 1996/11/11 00:05:11 lukem Exp $
-.\" $FreeBSD: src/share/man/man9/malloc.9,v 1.43 2008/03/25 15:44:49 ru Exp $
+.\" $FreeBSD: src/share/man/man9/malloc.9,v 1.44 2008/10/23 15:53:51 des Exp $
 .\"
-.Dd June 12, 2003
+.Dd October 23, 2008
 .Dt MALLOC 9
 .Os
 .Sh NAME
 .Nm malloc ,
-.Nm MALLOC ,
 .Nm free ,
-.Nm FREE ,
 .Nm realloc ,
 .Nm reallocf ,
 .Nm MALLOC_DEFINE ,
@@ -54,10 +52,8 @@
 .In sys/malloc.h
 .Ft void *
 .Fn malloc "unsigned long size" "struct malloc_type *type" "int flags"
-.Fn MALLOC space cast "unsigned long size" "struct malloc_type *type" "int flags"
 .Ft void
 .Fn free "void *addr" "struct malloc_type *type"
-.Fn FREE "void *addr" "struct malloc_type *type"
 .Ft void *
 .Fn realloc "void *addr" "unsigned long size" "struct malloc_type *type" "int flags"
 .Ft void *
@@ -123,20 +119,6 @@
 except that it
 will free the passed pointer when the requested memory cannot be allocated.
 .Pp
-The
-.Fn MALLOC
-macro variant is functionally equivalent to
-.Bd -literal -offset indent
-(space) = (cast)malloc((u_long)(size), type, flags)
-.Ed
-.Pp
-and the
-.Fn FREE
-macro variant is equivalent to
-.Bd -literal -offset indent
-free((addr), type)
-.Ed
-.Pp
 Unlike its standard C library counterpart
 .Pq Xr malloc 3 ,
 the kernel version takes two more arguments.
@@ -219,7 +201,7 @@
 /* sys/something/foo_subr.c */
 
 \&...
-MALLOC(buf, struct foo_buf *, sizeof *buf, M_FOOBUF, M_NOWAIT);
+buf = malloc(sizeof *buf, M_FOOBUF, M_NOWAIT);
 
 .Ed
 .Pp

==== //depot/projects/vap/sys/amd64/amd64/identcpu.c#12 (text+ko) ====

@@ -39,7 +39,7 @@
  */
 
 #include <sys/cdefs.h>
-__FBSDID("$FreeBSD: src/sys/amd64/amd64/identcpu.c,v 1.162 2008/10/21 00:38:00 jkim Exp $");
+__FBSDID("$FreeBSD: src/sys/amd64/amd64/identcpu.c,v 1.164 2008/10/22 17:30:37 jkim Exp $");
 
 #include "opt_cpu.h"
 
@@ -347,10 +347,17 @@
 			    "AuthenticAMD") == 0)
 				cpu_feature &= ~CPUID_HTT;
 
+			/*
+			 * If this CPU supports P-state invariant TSC then
+			 * mention the capability.
+			 */
 			if (!tsc_is_invariant &&
-			    (amd_pminfo & AMDPM_TSC_INVARIANT)) {
+			    (strcmp(cpu_vendor, "AuthenticAMD") == 0 &&
+			    ((amd_pminfo & AMDPM_TSC_INVARIANT) != 0 ||
+			    AMD64_CPU_FAMILY(cpu_id) >= 0x10 ||
+			    cpu_id == 0x60fb2))) {
 				tsc_is_invariant = 1;
-				printf("\n  P-state invariant TSC");
+				printf("\n  TSC: P-state invariant");
 			}
 
 			/*

==== //depot/projects/vap/sys/amd64/amd64/local_apic.c#9 (text+ko) ====

@@ -32,7 +32,7 @@
  */
 
 #include <sys/cdefs.h>
-__FBSDID("$FreeBSD: src/sys/amd64/amd64/local_apic.c,v 1.46 2008/08/23 12:35:43 jhb Exp $");
+__FBSDID("$FreeBSD: src/sys/amd64/amd64/local_apic.c,v 1.47 2008/10/26 18:58:04 sobomax Exp $");
 
 #include "opt_hwpmc_hooks.h"
 #include "opt_kdtrace.h"
@@ -401,7 +401,11 @@
 		lapic_timer_hz = hz * 2;
 	else
 		lapic_timer_hz = hz * 4;
-	stathz = lapic_timer_hz / (lapic_timer_hz / 128);
+	if (lapic_timer_hz < 128) {
+		stathz = 128;

>>> TRUNCATED FOR MAIL (1000 lines) <<<



Want to link to this message? Use this URL: <https://mail-archive.FreeBSD.org/cgi/mid.cgi?200810262208.m9QM8aWl066557>