From owner-dev-commits-ports-all@freebsd.org Sun Jun 13 03:48:25 2021 Return-Path: Delivered-To: dev-commits-ports-all@mailman.nyi.freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2610:1c1:1:606c::19:1]) by mailman.nyi.freebsd.org (Postfix) with ESMTP id CA5C4655D65; Sun, 13 Jun 2021 03:48:25 +0000 (UTC) (envelope-from git@FreeBSD.org) Received: from mxrelay.nyi.freebsd.org (mxrelay.nyi.freebsd.org [IPv6:2610:1c1:1:606c::19:3]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (4096 bits) server-digest SHA256 client-signature RSA-PSS (4096 bits) client-digest SHA256) (Client CN "mxrelay.nyi.freebsd.org", Issuer "R3" (verified OK)) by mx1.freebsd.org (Postfix) with ESMTPS id 4G2gXn3bLLz4qcf; Sun, 13 Jun 2021 03:48:25 +0000 (UTC) (envelope-from git@FreeBSD.org) Received: from gitrepo.freebsd.org (gitrepo.freebsd.org [IPv6:2610:1c1:1:6068::e6a:5]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (4096 bits) server-digest SHA256) (Client did not present a certificate) by mxrelay.nyi.freebsd.org (Postfix) with ESMTPS id 6302A1A4FE; Sun, 13 Jun 2021 03:48:25 +0000 (UTC) (envelope-from git@FreeBSD.org) Received: from gitrepo.freebsd.org ([127.0.1.44]) by gitrepo.freebsd.org (8.16.1/8.16.1) with ESMTP id 15D3mP4P013297; Sun, 13 Jun 2021 03:48:25 GMT (envelope-from git@gitrepo.freebsd.org) Received: (from git@localhost) by gitrepo.freebsd.org (8.16.1/8.16.1/Submit) id 15D3mPQn013296; Sun, 13 Jun 2021 03:48:25 GMT (envelope-from git) Date: Sun, 13 Jun 2021 03:48:25 GMT Message-Id: <202106130348.15D3mPQn013296@gitrepo.freebsd.org> To: ports-committers@FreeBSD.org, dev-commits-ports-all@FreeBSD.org, dev-commits-ports-main@FreeBSD.org From: Yuri Victorovich Subject: git: b5163fd6722a - main - misc/openvdb: Update 8.0.1 -> 8.1.0 MIME-Version: 1.0 Content-Type: text/plain; charset=utf-8 Content-Transfer-Encoding: 8bit X-Git-Committer: yuri X-Git-Repository: ports X-Git-Refname: refs/heads/main X-Git-Reftype: branch X-Git-Commit: b5163fd6722acb0627f2e8c028ccd7e2c77a6b41 Auto-Submitted: auto-generated X-BeenThere: dev-commits-ports-all@freebsd.org X-Mailman-Version: 2.1.34 Precedence: list List-Id: Commit messages for all branches of the ports repository List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Sun, 13 Jun 2021 03:48:26 -0000 The branch main has been updated by yuri: URL: https://cgit.FreeBSD.org/ports/commit/?id=b5163fd6722acb0627f2e8c028ccd7e2c77a6b41 commit b5163fd6722acb0627f2e8c028ccd7e2c77a6b41 Author: Yuri Victorovich AuthorDate: 2021-06-13 03:46:23 +0000 Commit: Yuri Victorovich CommitDate: 2021-06-13 03:48:20 +0000 misc/openvdb: Update 8.0.1 -> 8.1.0 Reported by: portscout --- cad/PrusaSlicer/Makefile | 2 +- graphics/blender-lts28/Makefile | 1 + graphics/blender/Makefile | 2 +- graphics/openimageio/Makefile | 2 +- math/curv/Makefile | 2 +- misc/openvdb/Makefile | 14 +-- misc/openvdb/distinfo | 6 +- misc/openvdb/files/patch-CMakeLists.txt | 6 +- misc/openvdb/files/patch-cmake_FindIlmBase.cmake | 119 ++++++++++++--------- .../files/patch-openvdb_openvdb_CMakeLists.txt | 48 +++------ misc/openvdb/files/patch-openvdb_openvdb_Types.h | 14 +-- .../files/patch-openvdb_openvdb_cmd_CMakeLists.txt | 5 +- misc/openvdb/pkg-plist | 9 +- science/opensph/Makefile | 2 +- 14 files changed, 117 insertions(+), 115 deletions(-) diff --git a/cad/PrusaSlicer/Makefile b/cad/PrusaSlicer/Makefile index 14c139de3e42..ee1b5350b1be 100644 --- a/cad/PrusaSlicer/Makefile +++ b/cad/PrusaSlicer/Makefile @@ -1,7 +1,7 @@ PORTNAME= PrusaSlicer DISTVERSIONPREFIX=version_ DISTVERSION= 2.3.0 -PORTREVISION= 5 +PORTREVISION= 6 CATEGORIES= cad MAINTAINER= teodorsigaev@gmail.com diff --git a/graphics/blender-lts28/Makefile b/graphics/blender-lts28/Makefile index ff05175dcb1b..32703ac2f207 100644 --- a/graphics/blender-lts28/Makefile +++ b/graphics/blender-lts28/Makefile @@ -1,5 +1,6 @@ PORTNAME= blender DISTVERSION= 2.83.15 +PORTREVISION= 1 CATEGORIES= graphics multimedia MASTER_SITES= http://download.blender.org/source/ \ http://mirror.cs.umn.edu/blender.org/source/ \ diff --git a/graphics/blender/Makefile b/graphics/blender/Makefile index 238b5c713f65..28fb513e1880 100644 --- a/graphics/blender/Makefile +++ b/graphics/blender/Makefile @@ -2,7 +2,7 @@ PORTNAME= blender DISTVERSION= 2.91.0 -PORTREVISION= 6 +PORTREVISION= 7 CATEGORIES= graphics multimedia MASTER_SITES= http://download.blender.org/source/ \ http://mirror.cs.umn.edu/blender.org/source/ \ diff --git a/graphics/openimageio/Makefile b/graphics/openimageio/Makefile index de3cb69931ef..b2a071526c2a 100644 --- a/graphics/openimageio/Makefile +++ b/graphics/openimageio/Makefile @@ -7,7 +7,7 @@ DISTVERSION= 2.2.13.1 # py-openimageio's PORTREVISION. # Also, just to be on the safe side, when resetting, # best keep PORTREVISION?= 0. -PORTREVISION?= 2 +PORTREVISION?= 3 CATEGORIES?= graphics multimedia MAINTAINER?= FreeBSD@Shaneware.biz diff --git a/math/curv/Makefile b/math/curv/Makefile index dd718adc11d2..8accc9e3bb78 100644 --- a/math/curv/Makefile +++ b/math/curv/Makefile @@ -1,7 +1,7 @@ PORTNAME= curv DISTVERSION= 0.4-417 DISTVERSIONSUFFIX= -gf6f8d011 -PORTREVISION= 15 +PORTREVISION= 16 CATEGORIES= math lang graphics MAINTAINER= yuri@FreeBSD.org diff --git a/misc/openvdb/Makefile b/misc/openvdb/Makefile index 1d65dec33697..c6fb8fb46b18 100644 --- a/misc/openvdb/Makefile +++ b/misc/openvdb/Makefile @@ -1,7 +1,6 @@ PORTNAME= openvdb DISTVERSIONPREFIX= v -DISTVERSION= 8.0.1 -PORTREVISION= 3 +DISTVERSION= 8.1.0 CATEGORIES= misc MAINTAINER= yuri@FreeBSD.org @@ -14,14 +13,17 @@ LIB_DEPENDS= libblosc.so:archivers/c-blosc \ libboost_system.so:devel/boost-libs \ libImath.so:math/Imath \ libtbb.so:devel/tbb +TEST_DEPENDS= googletest>0:devel/googletest + +USES= cmake:testing compiler:c++11-lang localbase:ldflags pkgconfig +USE_LDCONFIG= yes -USES= cmake compiler:c++11-lang localbase:ldflags pkgconfig USE_GITHUB= yes GH_ACCOUNT= AcademySoftwareFoundation -USE_LDCONFIG= yes -CMAKE_ARGS= -DCONCURRENT_MALLOC="Tbbmalloc" -CMAKE_OFF= OPENVDB_CORE_STATIC +CMAKE_ARGS= -DCONCURRENT_MALLOC="Tbbmalloc" +CMAKE_OFF= OPENVDB_CORE_STATIC +CMAKE_TESTING_ON= OPENVDB_BUILD_UNITTESTS # one test fails: https://github.com/AcademySoftwareFoundation/openvdb/issues/1103 OPTIONS_DEFINE= PYTHON TOOLS #DOCS # TOOLS and PYTHON should be made into subpackages OPTIONS_DEFAULT= PYTHON TOOLS diff --git a/misc/openvdb/distinfo b/misc/openvdb/distinfo index f37181b3ae5c..b7b8201c7ba3 100644 --- a/misc/openvdb/distinfo +++ b/misc/openvdb/distinfo @@ -1,3 +1,3 @@ -TIMESTAMP = 1612734827 -SHA256 (AcademySoftwareFoundation-openvdb-v8.0.1_GH0.tar.gz) = a6845da7c604d2c72e4141c898930ac8a2375521e535f696c2cd92bebbe43c4f -SIZE (AcademySoftwareFoundation-openvdb-v8.0.1_GH0.tar.gz) = 2299276 +TIMESTAMP = 1623521505 +SHA256 (AcademySoftwareFoundation-openvdb-v8.1.0_GH0.tar.gz) = 3e09d47331429be7409a3a3c27fdd3c297f96d31d2153febe194e664a99d6183 +SIZE (AcademySoftwareFoundation-openvdb-v8.1.0_GH0.tar.gz) = 2619432 diff --git a/misc/openvdb/files/patch-CMakeLists.txt b/misc/openvdb/files/patch-CMakeLists.txt index ec5eb9bd5c01..a3667035df69 100644 --- a/misc/openvdb/files/patch-CMakeLists.txt +++ b/misc/openvdb/files/patch-CMakeLists.txt @@ -1,6 +1,6 @@ ---- CMakeLists.txt.orig 2021-02-05 19:21:38 UTC +--- CMakeLists.txt.orig 2021-06-11 21:38:41 UTC +++ CMakeLists.txt -@@ -199,7 +199,7 @@ mark_as_advanced( +@@ -216,7 +216,7 @@ set(MINIMUM_CXX_STANDARD 14) # @note Blosc version is currently treated as exception which must be adhered # to. The minimum version must be at least 1.5.0. Previous versions are incompatible. # Later versions (including 1.5.4), can be buggy on certain platforms. @@ -9,7 +9,7 @@ # @note ABI always enforced so the correct deprecation messages are available. # OPENVDB_USE_DEPRECATED_ABI_ should be used to circumvent this set(MINIMUM_OPENVDB_ABI_VERSION 6) -@@ -214,13 +214,13 @@ if(NOT DISABLE_DEPENDENCY_VERSION_CHECKS) +@@ -231,13 +231,13 @@ if(NOT DISABLE_DEPENDENCY_VERSION_CHECKS) set(MINIMUM_MSVC_VERSION 19.10) set(MINIMUM_BOOST_VERSION 1.61) # @warning should be 1.66, but H18 ships with 1.61 diff --git a/misc/openvdb/files/patch-cmake_FindIlmBase.cmake b/misc/openvdb/files/patch-cmake_FindIlmBase.cmake index cf0981eb6b00..a2a4d179b946 100644 --- a/misc/openvdb/files/patch-cmake_FindIlmBase.cmake +++ b/misc/openvdb/files/patch-cmake_FindIlmBase.cmake @@ -1,5 +1,14 @@ ---- cmake/FindIlmBase.cmake.orig 2021-02-05 19:21:38 UTC +--- cmake/FindIlmBase.cmake.orig 2021-06-11 21:38:41 UTC +++ cmake/FindIlmBase.cmake +@@ -10,7 +10,7 @@ Find IlmBase include dirs and libraries + + Use this module by invoking find_package with the form:: + +- find_package(IlmBase ++ find_package(Imath + [version] [EXACT] # Minimum or EXACT version + [REQUIRED] # Fail with error if IlmBase is not found + [COMPONENTS ...] # IlmBase libraries by their canonical name @@ -36,11 +36,11 @@ Result Variables This will define the following variables: @@ -13,9 +22,9 @@ -``IlmBase_INCLUDE_DIRS`` +``S`` Include directories needed to use IlmBase. - ``IlmBase_LIBRARIES`` - Libraries needed to link to IlmBase. -@@ -54,7 +54,7 @@ Cache Variables + ``IlmBase_RELEASE_LIBRARIES`` + Libraries needed to link to the release version of IlmBase. +@@ -65,7 +65,7 @@ Cache Variables The following cache variables may also be set: @@ -23,8 +32,8 @@ +```` The directory containing ``IlmBase/config-auto.h``. ``IlmBase_{COMPONENT}_LIBRARY`` - Individual component libraries for IlmBase -@@ -85,7 +85,7 @@ include(GNUInstallDirs) + Individual component libraries for IlmBase. may include target_link_libraries() debug/optimized keywords. +@@ -102,7 +102,7 @@ include(GNUInstallDirs) mark_as_advanced( @@ -33,7 +42,7 @@ IlmBase_LIBRARY ) -@@ -95,10 +95,6 @@ if(DISABLE_CMAKE_SEARCH_PATHS) +@@ -112,10 +112,6 @@ if(DISABLE_CMAKE_SEARCH_PATHS) endif() set(_ILMBASE_COMPONENT_LIST @@ -44,16 +53,7 @@ Imath ) -@@ -142,7 +138,7 @@ if(USE_PKGCONFIG) - if(NOT DEFINED PKG_CONFIG_FOUND) - find_package(PkgConfig) - endif() -- pkg_check_modules(PC_IlmBase QUIET IlmBase) -+ pkg_check_modules(PC_IlmBase QUIET Imath) - endif() - - # ------------------------------------------------------------------------ -@@ -158,15 +154,15 @@ list(APPEND _ILMBASE_INCLUDE_SEARCH_DIRS +@@ -177,15 +173,15 @@ list(APPEND _ILMBASE_INCLUDE_SEARCH_DIRS ) # Look for a standard IlmBase header file. @@ -72,7 +72,7 @@ _ilmbase_version_major_string REGEX "#define ILMBASE_VERSION_MAJOR " ) string(REGEX REPLACE "#define ILMBASE_VERSION_MAJOR" "" -@@ -174,7 +170,7 @@ if(EXISTS "${IlmBase_INCLUDE_DIR}/IlmBaseConfig.h") +@@ -193,7 +189,7 @@ if(EXISTS "${IlmBase_INCLUDE_DIR}/IlmBaseConfig.h") ) string(STRIP "${_ilmbase_version_major_string}" IlmBase_VERSION_MAJOR) @@ -81,7 +81,7 @@ _ilmbase_version_minor_string REGEX "#define ILMBASE_VERSION_MINOR " ) string(REGEX REPLACE "#define ILMBASE_VERSION_MINOR" "" -@@ -255,16 +251,16 @@ unset(_ILMBASE_ORIG_CMAKE_FIND_LIBRARY_SUFFIXES) +@@ -324,16 +320,16 @@ endforeach() # ------------------------------------------------------------------------ include(FindPackageHandleStandardArgs) @@ -91,40 +91,53 @@ + FOUND_VAR Imath_FOUND REQUIRED_VARS - IlmBase_INCLUDE_DIR -+ - IlmBase_LIB_COMPONENTS - VERSION_VAR IlmBase_VERSION +- IlmBase_LIB_COMPONENTS +- VERSION_VAR IlmBase_VERSION ++# IlmBase_INCLUDE_DIR ++ Imath_LIB_COMPONENTS ++ VERSION_VAR Imath_VERSION HANDLE_COMPONENTS ) --if(IlmBase_FOUND) -+if(Imath_FOUND) - set(IlmBase_LIBRARIES ${IlmBase_LIB_COMPONENTS}) - - # We have to add both include and include/OpenEXR to the include -@@ -280,12 +276,12 @@ if(IlmBase_FOUND) - - set(_IlmBase_Parent_Dir "") - get_filename_component(_IlmBase_Parent_Dir -- ${IlmBase_INCLUDE_DIR}/../ ABSOLUTE) -+ ${}/../ ABSOLUTE) - -- set(IlmBase_INCLUDE_DIRS) -- list(APPEND IlmBase_INCLUDE_DIRS -+ set(S) -+ list(APPEND S - ${_IlmBase_Parent_Dir} -- ${IlmBase_INCLUDE_DIR} -+ ${} - ) - unset(_IlmBase_Parent_Dir) - -@@ -330,7 +326,7 @@ if(IlmBase_FOUND) - IMPORTED_LOCATION "${IlmBase_${COMPONENT}_LIBRARY}" - INTERFACE_COMPILE_OPTIONS "${PC_IlmBase_CFLAGS_OTHER}" - INTERFACE_COMPILE_DEFINITIONS "${IlmBase_${COMPONENT}_DEFINITIONS}" -- INTERFACE_INCLUDE_DIRECTORIES "${IlmBase_INCLUDE_DIRS}" -+ INTERFACE_INCLUDE_DIRECTORIES "${S}" - ) - endif() - endforeach() +-if(NOT IlmBase_FOUND) ++if(NOT Imath_FOUND) + if(IlmBase_FIND_REQUIRED) + message(FATAL_ERROR "Unable to find IlmBase") + endif() +@@ -348,8 +344,8 @@ set(IlmBase_DEBUG_LIBRARIES "") + set(IlmBase_DEBUG_LIBRARY_DIRS "") + foreach(LIB ${IlmBase_LIB_COMPONENTS_RELEASE}) + get_filename_component(_ILM_LIBDIR ${LIB} DIRECTORY) +- list(APPEND IlmBase_RELEASE_LIBRARIES ${LIB}) +- list(APPEND IlmBase_RELEASE_LIBRARY_DIRS ${_ILM_LIBDIR}) ++ list(APPEND Imath_RELEASE_LIBRARIES ${LIB}) ++ list(APPEND Imath_RELEASE_LIBRARY_DIRS ${_ILM_LIBDIR}) + endforeach() + + foreach(LIB ${IlmBase_LIB_COMPONENTS_DEBUG}) +@@ -377,12 +373,12 @@ set(IlmBase_LIBRARY_DIRS ${IlmBase_RELEASE_LIBRARY_DIR + + set(_IlmBase_Parent_Dir "") + get_filename_component(_IlmBase_Parent_Dir +- ${IlmBase_INCLUDE_DIR}/../ ABSOLUTE) ++ ${}/../ ABSOLUTE) + +-set(IlmBase_INCLUDE_DIRS) +-list(APPEND IlmBase_INCLUDE_DIRS ++set(S) ++list(APPEND S + ${_IlmBase_Parent_Dir} +- ${IlmBase_INCLUDE_DIR} ++ ${} + ) + unset(_IlmBase_Parent_Dir) + +@@ -419,7 +415,7 @@ foreach(COMPONENT ${IlmBase_FIND_COMPONENTS}) + set_target_properties(IlmBase::${COMPONENT} PROPERTIES + INTERFACE_COMPILE_OPTIONS "${PC_IlmBase_CFLAGS_OTHER}" + INTERFACE_COMPILE_DEFINITIONS "${IlmBase_${COMPONENT}_DEFINITIONS}" +- INTERFACE_INCLUDE_DIRECTORIES "${IlmBase_INCLUDE_DIRS}") ++ INTERFACE_INCLUDE_DIRECTORIES "${S}") + + # Standard location + set_target_properties(IlmBase::${COMPONENT} PROPERTIES diff --git a/misc/openvdb/files/patch-openvdb_openvdb_CMakeLists.txt b/misc/openvdb/files/patch-openvdb_openvdb_CMakeLists.txt index a2948db95809..d1ff3bfa6cc7 100644 --- a/misc/openvdb/files/patch-openvdb_openvdb_CMakeLists.txt +++ b/misc/openvdb/files/patch-openvdb_openvdb_CMakeLists.txt @@ -1,41 +1,21 @@ ---- openvdb/openvdb/CMakeLists.txt.orig 2021-02-05 19:21:38 UTC +--- openvdb/openvdb/CMakeLists.txt.orig 2021-06-11 21:38:41 UTC +++ openvdb/openvdb/CMakeLists.txt -@@ -45,8 +45,8 @@ message(STATUS "-------------------------------------- - - # Collect and configure lib dependencies - -+find_package(Imath ${MINIMUM_ILMBASE_VERSION} REQUIRED) - if(USE_EXR) -- find_package(IlmBase ${MINIMUM_ILMBASE_VERSION} REQUIRED) - find_package(OpenEXR ${MINIMUM_OPENEXR_VERSION} REQUIRED) - if(OPENVDB_FUTURE_DEPRECATION AND FUTURE_MINIMUM_OPENEXR_VERSION) - if(${OpenEXR_VERSION} VERSION_LESS FUTURE_MINIMUM_OPENEXR_VERSION) -@@ -54,8 +54,6 @@ if(USE_EXR) - "is deprecated and will be removed.") - endif() +@@ -128,8 +128,8 @@ if(OPENVDB_FUTURE_DEPRECATION AND FUTURE_MINIMUM_TBB_V endif() --else() -- find_package(IlmBase ${MINIMUM_ILMBASE_VERSION} REQUIRED COMPONENTS Half) endif() - if(OPENVDB_FUTURE_DEPRECATION AND FUTURE_MINIMUM_ILMBASE_VERSION) -@@ -129,7 +127,7 @@ endif() - set(OPENVDB_CORE_DEPENDENT_LIBS - Boost::iostreams - Boost::system -- IlmBase::Half -+ Imath::Imath ++find_package(Imath ${MINIMUM_ILMBASE_VERSION} REQUIRED) + if(USE_IMATH_HALF) +- find_package(IlmBase ${MINIMUM_ILMBASE_VERSION} REQUIRED COMPONENTS Half) + if(OPENVDB_FUTURE_DEPRECATION AND FUTURE_MINIMUM_ILMBASE_VERSION) + if(${IlmBase_VERSION} VERSION_LESS FUTURE_MINIMUM_ILMBASE_VERSION) + message(DEPRECATION "Support for IlmBase versions < ${FUTURE_MINIMUM_ILMBASE_VERSION} " +@@ -191,7 +191,7 @@ set(OPENVDB_CORE_DEPENDENT_LIBS ) - if(WIN32) -@@ -147,9 +145,7 @@ endif() - - if(USE_EXR) - list(APPEND OPENVDB_CORE_DEPENDENT_LIBS -- IlmBase::IlmThread -- IlmBase::Iex -- IlmBase::Imath -+ Imath::Imath - OpenEXR::IlmImf - ) + if(USE_IMATH_HALF) +- list(APPEND OPENVDB_CORE_DEPENDENT_LIBS IlmBase::Half) ++ list(APPEND OPENVDB_CORE_DEPENDENT_LIBS Imath::Half) endif() + + if(WIN32) diff --git a/misc/openvdb/files/patch-openvdb_openvdb_Types.h b/misc/openvdb/files/patch-openvdb_openvdb_Types.h index 762e39c0c602..037068298f82 100644 --- a/misc/openvdb/files/patch-openvdb_openvdb_Types.h +++ b/misc/openvdb/files/patch-openvdb_openvdb_Types.h @@ -1,11 +1,11 @@ ---- openvdb/openvdb/Types.h.orig 2021-02-05 19:21:38 UTC +--- openvdb/openvdb/Types.h.orig 2021-06-11 21:38:41 UTC +++ openvdb/openvdb/Types.h -@@ -7,7 +7,7 @@ - #include "version.h" - #include "Platform.h" +@@ -9,7 +9,7 @@ #include "TypeList.h" // backwards compat + + #ifdef OPENVDB_USE_IMATH_HALF -#include +#include - #include - #include - #include + namespace openvdb { + OPENVDB_USE_VERSION_NAMESPACE + namespace OPENVDB_VERSION_NAME { diff --git a/misc/openvdb/files/patch-openvdb_openvdb_cmd_CMakeLists.txt b/misc/openvdb/files/patch-openvdb_openvdb_cmd_CMakeLists.txt index a772e6ef3952..ce148b306885 100644 --- a/misc/openvdb/files/patch-openvdb_openvdb_cmd_CMakeLists.txt +++ b/misc/openvdb/files/patch-openvdb_openvdb_cmd_CMakeLists.txt @@ -1,4 +1,4 @@ ---- openvdb/openvdb/cmd/CMakeLists.txt.orig 2021-02-05 19:21:38 UTC +--- openvdb/openvdb/cmd/CMakeLists.txt.orig 2021-06-11 21:38:41 UTC +++ openvdb/openvdb/cmd/CMakeLists.txt @@ -116,8 +116,8 @@ endif() #### vdb_render @@ -11,10 +11,11 @@ set(VDB_RENDER_SOURCE_FILES openvdb_render.cc) add_executable(vdb_render ${VDB_RENDER_SOURCE_FILES}) -@@ -129,10 +129,8 @@ if(OPENVDB_BUILD_VDB_RENDER) +@@ -129,11 +129,8 @@ if(OPENVDB_BUILD_VDB_RENDER) # headers target_link_libraries(vdb_render +- IlmBase::Half - OpenEXR::IlmImf - IlmBase::IlmThread - IlmBase::Iex diff --git a/misc/openvdb/pkg-plist b/misc/openvdb/pkg-plist index 4c02cc190d65..5e633ee09bfb 100644 --- a/misc/openvdb/pkg-plist +++ b/misc/openvdb/pkg-plist @@ -24,6 +24,8 @@ include/openvdb/math/ConjGradient.h include/openvdb/math/Coord.h include/openvdb/math/DDA.h include/openvdb/math/FiniteDifference.h +include/openvdb/math/Half.h +include/openvdb/math/HalfLimits.h include/openvdb/math/LegacyFrustum.h include/openvdb/math/Maps.h include/openvdb/math/Mat.h @@ -62,9 +64,11 @@ include/openvdb/points/PointSample.h include/openvdb/points/PointScatter.h include/openvdb/points/StreamCompression.h %%PYTHON%%include/openvdb/python/pyopenvdb.h +include/openvdb/tools/Activate.h include/openvdb/tools/ChangeBackground.h include/openvdb/tools/Clip.h include/openvdb/tools/Composite.h +include/openvdb/tools/Count.h include/openvdb/tools/Dense.h include/openvdb/tools/DenseSparseTools.h include/openvdb/tools/Diagnostics.h @@ -89,6 +93,7 @@ include/openvdb/tools/Merge.h include/openvdb/tools/MeshToVolume.h include/openvdb/tools/Morphology.h include/openvdb/tools/MultiResGrid.h +include/openvdb/tools/NodeVisitor.h include/openvdb/tools/ParticleAtlas.h include/openvdb/tools/ParticlesToLevelSet.h include/openvdb/tools/PointAdvect.h @@ -145,6 +150,6 @@ lib/cmake/OpenVDB/OpenVDBHoudiniSetup.cmake lib/cmake/OpenVDB/OpenVDBMayaSetup.cmake lib/cmake/OpenVDB/OpenVDBUtils.cmake lib/libopenvdb.so -lib/libopenvdb.so.8.0 -lib/libopenvdb.so.8.0.1 +lib/libopenvdb.so.8.1 +lib/libopenvdb.so.8.1.0 %%PYTHON%%%%PYTHON_LIBDIR%%/pyopenvdb.so diff --git a/science/opensph/Makefile b/science/opensph/Makefile index 916b3d54b04e..0b1c96503a66 100644 --- a/science/opensph/Makefile +++ b/science/opensph/Makefile @@ -1,6 +1,6 @@ PORTNAME= OpenSPH DISTVERSION= 0.3.4 -PORTREVISION= 3 +PORTREVISION= 4 CATEGORIES= science MAINTAINER= yuri@FreeBSD.org