Skip site navigation (1)Skip section navigation (2)
Date:      Wed, 1 Nov 2023 16:57:57 GMT
From:      Yuri Victorovich <yuri@FreeBSD.org>
To:        ports-committers@FreeBSD.org, dev-commits-ports-all@FreeBSD.org, dev-commits-ports-main@FreeBSD.org
Subject:   git: 8f238ffa6c64 - main - cad/verilator: update 5.016 =?utf-8?Q?=E2=86=92?= 5.018
Message-ID:  <202311011657.3A1GvvEb050223@gitrepo.freebsd.org>

next in thread | raw e-mail | index | archive | help
The branch main has been updated by yuri:

URL: https://cgit.FreeBSD.org/ports/commit/?id=8f238ffa6c64e27d7d1742886fc67cacb22bf567

commit 8f238ffa6c64e27d7d1742886fc67cacb22bf567
Author:     Yuri Victorovich <yuri@FreeBSD.org>
AuthorDate: 2023-11-01 16:57:44 +0000
Commit:     Yuri Victorovich <yuri@FreeBSD.org>
CommitDate: 2023-11-01 16:57:54 +0000

    cad/verilator: update 5.016 → 5.018
    
    Reported by:    portscout
---
 cad/verilator/Makefile  | 9 ++++++---
 cad/verilator/distinfo  | 6 +++---
 cad/verilator/pkg-plist | 2 +-
 3 files changed, 10 insertions(+), 7 deletions(-)

diff --git a/cad/verilator/Makefile b/cad/verilator/Makefile
index a77be996deb9..a50bf1bfd068 100644
--- a/cad/verilator/Makefile
+++ b/cad/verilator/Makefile
@@ -1,6 +1,6 @@
 PORTNAME=	verilator
 DISTVERSIONPREFIX=	v
-DISTVERSION=	5.016
+DISTVERSION=	5.018
 CATEGORIES=	cad
 
 MAINTAINER=	yuri@FreeBSD.org
@@ -20,7 +20,8 @@ LIB_DEPENDS=	libsystemc.so:devel/systemc
 RUN_DEPENDS=	${LOCALBASE}/bin/ar:devel/binutils \
 		gmake:devel/gmake # verilator runs gmake for the --hierarchical option when the 'gmake' method is chosen
 
-USES=		bison compiler:c++14-lang gmake localbase:ldflags pathfix perl5 python:build,run,test shebangfix tar:tgz
+USES=		bison compiler:c++17-lang gmake localbase:ldflags pathfix perl5 python:build,run,test shebangfix tar:tgz
+USE_CXXSTD=	c++17 # needs to match that of devel/systemc to avoid mismatches like https://github.com/verilator/verilator/issues/4499
 USE_GITHUB=	yes
 
 SHEBANG_FILES=	bin/verilator_ccache_report \
@@ -38,7 +39,9 @@ CONFIGURE_SHELL=	${LOCALBASE}/bin/bash # see https://github.com/verilator/verila
 
 TEST_TARGET=	test
 
-BINARY_ALIAS=	make=${GMAKE} python3=${PYTHON_CMD} # aliasas are only for tests
+BINARY_ALIAS=	make=${GMAKE} \
+		python3=${PYTHON_CMD} \
+		git=false
 
 MAKE_JOBS_UNSAFE=	yes # build on 1 CPU because many compile jobs are over 10GB and they can likely cause out-of-memory issues
 
diff --git a/cad/verilator/distinfo b/cad/verilator/distinfo
index f8c72db15160..6af20afac7bd 100644
--- a/cad/verilator/distinfo
+++ b/cad/verilator/distinfo
@@ -1,3 +1,3 @@
-TIMESTAMP = 1694930870
-SHA256 (verilator-verilator-v5.016_GH0.tar.gz) = 66fc36f65033e5ec904481dd3d0df56500e90c0bfca23b2ae21b4a8d39e05ef1
-SIZE (verilator-verilator-v5.016_GH0.tar.gz) = 3415434
+TIMESTAMP = 1698813043
+SHA256 (verilator-verilator-v5.018_GH0.tar.gz) = 8b544273eedee379e3c1a3bb849e14c754c9b5035d61ad03acdf3963092ba6c0
+SIZE (verilator-verilator-v5.018_GH0.tar.gz) = 3457115
diff --git a/cad/verilator/pkg-plist b/cad/verilator/pkg-plist
index 7e72503758c6..61dc181b3e7f 100644
--- a/cad/verilator/pkg-plist
+++ b/cad/verilator/pkg-plist
@@ -93,7 +93,6 @@ man/man1/verilator_profcfunc.1.gz
 %%DATADIR%%/include/verilated_timing.cpp
 %%DATADIR%%/include/verilated_timing.h
 %%DATADIR%%/include/verilated_trace.h
-%%DATADIR%%/include/verilated_trace_defs.h
 %%DATADIR%%/include/verilated_trace_imp.h
 %%DATADIR%%/include/verilated_types.h
 %%DATADIR%%/include/verilated_vcd_c.cpp
@@ -103,6 +102,7 @@ man/man1/verilator_profcfunc.1.gz
 %%DATADIR%%/include/verilated_vpi.cpp
 %%DATADIR%%/include/verilated_vpi.h
 %%DATADIR%%/include/verilatedos.h
+%%DATADIR%%/include/vltstd/sv_vpi_user.h
 %%DATADIR%%/include/vltstd/svdpi.h
 %%DATADIR%%/include/vltstd/vpi_user.h
 %%DATADIR%%/verilator-config-version.cmake



Want to link to this message? Use this URL: <https://mail-archive.FreeBSD.org/cgi/mid.cgi?202311011657.3A1GvvEb050223>