Skip site navigation (1)Skip section navigation (2)
Date:      Mon, 23 Mar 2020 07:46:24 +0000 (UTC)
From:      Yuri Victorovich <yuri@FreeBSD.org>
To:        ports-committers@freebsd.org, svn-ports-all@freebsd.org, svn-ports-head@freebsd.org
Subject:   svn commit: r528958 - in head/cad: . opensta opensta/files
Message-ID:  <202003230746.02N7kOpQ057646@repo.freebsd.org>

next in thread | raw e-mail | index | archive | help
Author: yuri
Date: Mon Mar 23 07:46:23 2020
New Revision: 528958
URL: https://svnweb.freebsd.org/changeset/ports/528958

Log:
  New port: cad/opensta: Gate level static timing verifier

Added:
  head/cad/opensta/
  head/cad/opensta/Makefile   (contents, props changed)
  head/cad/opensta/distinfo   (contents, props changed)
  head/cad/opensta/files/
  head/cad/opensta/files/patch-CMakeLists.txt   (contents, props changed)
  head/cad/opensta/files/patch-cmake_FindTCL.cmake   (contents, props changed)
  head/cad/opensta/pkg-descr   (contents, props changed)
  head/cad/opensta/pkg-plist   (contents, props changed)
Modified:
  head/cad/Makefile

Modified: head/cad/Makefile
==============================================================================
--- head/cad/Makefile	Mon Mar 23 07:43:08 2020	(r528957)
+++ head/cad/Makefile	Mon Mar 23 07:46:23 2020	(r528958)
@@ -79,6 +79,7 @@
     SUBDIR += openctm
     SUBDIR += openscad
     SUBDIR += openscad-devel
+    SUBDIR += opensta
     SUBDIR += openvsp
     SUBDIR += oregano
     SUBDIR += p5-GDS2

Added: head/cad/opensta/Makefile
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/cad/opensta/Makefile	Mon Mar 23 07:46:23 2020	(r528958)
@@ -0,0 +1,29 @@
+# $FreeBSD$
+
+PORTNAME=	opensta
+DISTVERSION=	g20200315
+CATEGORIES=	cad
+
+MAINTAINER=	yuri@FreeBSD.org
+COMMENT=	Gate level static timing verifier
+
+LICENSE=	GPLv3
+LICENSE_FILE=	${WRKSRC}/LICENSE
+
+BUILD_DEPENDS=	swig3.0:devel/swig30
+LIB_DEPENDS=	libcudd.so:math/cudd
+
+USES=		bison compiler:c++11-lang cmake tcl
+USE_GITHUB=	yes
+GH_ACCOUNT=	The-OpenROAD-Project
+GH_PROJECT=	OpenSTA
+GH_TAGNAME=	057481441231748ce8a1c96092c5a6174f7581d8
+USE_LDCONFIG=	yes
+
+CMAKE_ARGS=	-DFREEBSD_TCL_VER=${TCL_VER:S/.//} -DFREEBSD_TCL_INCLUDEDIR=${TCL_INCLUDEDIR} -DCUDD=${LOCALBASE}
+
+LDFLAGS+=	-pthread
+
+BINARY_ALIAS=	tclsh=${TCLSH}
+
+.include <bsd.port.mk>

Added: head/cad/opensta/distinfo
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/cad/opensta/distinfo	Mon Mar 23 07:46:23 2020	(r528958)
@@ -0,0 +1,3 @@
+TIMESTAMP = 1584937080
+SHA256 (The-OpenROAD-Project-OpenSTA-g20200315-057481441231748ce8a1c96092c5a6174f7581d8_GH0.tar.gz) = faa86a3d61d2fcc132cc5b02acd6de2b25cce6e3be3647aa92c26f97a572239c
+SIZE (The-OpenROAD-Project-OpenSTA-g20200315-057481441231748ce8a1c96092c5a6174f7581d8_GH0.tar.gz) = 4859287

Added: head/cad/opensta/files/patch-CMakeLists.txt
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/cad/opensta/files/patch-CMakeLists.txt	Mon Mar 23 07:46:23 2020	(r528958)
@@ -0,0 +1,11 @@
+--- CMakeLists.txt.orig	2020-03-16 14:40:15 UTC
++++ CMakeLists.txt
+@@ -627,7 +627,7 @@ set(STA_INCLUDE_DIRS
+ # compatibility with configure
+ set(CMAKE_ARCHIVE_OUTPUT_DIRECTORY ${STA_HOME}/app)
+ 
+-add_library(OpenSTA
++add_library(OpenSTA SHARED
+   ${STA_SOURCE}
+ 
+   ${STA_TCL_INIT}

Added: head/cad/opensta/files/patch-cmake_FindTCL.cmake
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/cad/opensta/files/patch-cmake_FindTCL.cmake	Mon Mar 23 07:46:23 2020	(r528958)
@@ -0,0 +1,20 @@
+--- cmake/FindTCL.cmake.orig	2020-03-16 14:40:15 UTC
++++ cmake/FindTCL.cmake
+@@ -22,7 +22,7 @@
+ # because there doesn't appear to be a way to override
+ # searching OSX system directories before unix directories.
+ 
+-set(TCL_POSSIBLE_NAMES tcl87 tcl8.7
++set(TCL_POSSIBLE_NAMES tcl${FREEBSD_TCL_VER} tcl87 tcl8.7
+   tcl86 tcl8.6
+   tcl85 tcl8.5
+   tcl84 tcl8.4
+@@ -67,7 +67,7 @@ get_filename_component(TCL_LIB_PARENT2 "${TCL_LIB_PARE
+ # Locate tcl.h
+ if (NOT TCL_HEADER)
+   find_file(TCL_HEADER tcl.h
+-    PATHS ${TCL_LIB_PARENT1} ${TCL_LIB_PARENT2}
++    PATHS ${FREEBSD_TCL_INCLUDEDIR} ${TCL_LIB_PARENT1} ${TCL_LIB_PARENT2}
+     PATH_SUFFIXES include include/tcl
+     NO_DEFAULT_PATH
+     )

Added: head/cad/opensta/pkg-descr
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/cad/opensta/pkg-descr	Mon Mar 23 07:46:23 2020	(r528958)
@@ -0,0 +1,13 @@
+OpenSTA is a gate level static timing verifier. As a stand-alone executable it
+can be used to verify the timing of a design using standard file formats.
+
+* Verilog netlist
+* Liberty library
+* SDC timing constraints
+* SDF delay annotation
+* SPEF parasitics
+
+OpenSTA uses a TCL command interpreter to read the design, specify timing
+constraints and print timing reports.
+
+WWW: https://github.com/The-OpenROAD-Project/OpenSTA

Added: head/cad/opensta/pkg-plist
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/cad/opensta/pkg-plist	Mon Mar 23 07:46:23 2020	(r528958)
@@ -0,0 +1,166 @@
+bin/sta
+include/ArcDelayCalc.hh
+include/Arnoldi.hh
+include/ArnoldiDelayCalc.hh
+include/ArnoldiReduce.hh
+include/Bfs.hh
+include/CheckMaxSkews.hh
+include/CheckMinPeriods.hh
+include/CheckMinPulseWidths.hh
+include/CheckSlewLimits.hh
+include/CheckTiming.hh
+include/ClkInfo.hh
+include/ClkSkew.hh
+include/Clock.hh
+include/ClockGatingCheck.hh
+include/ClockGroups.hh
+include/ClockInsertion.hh
+include/ClockLatency.hh
+include/ConcreteLibrary.hh
+include/ConcreteNetwork.hh
+include/ConcreteParasitics.hh
+include/ConcreteParasiticsPvt.hh
+include/Corner.hh
+include/Crpr.hh
+include/CycleAccting.hh
+include/DataCheck.hh
+include/DcalcAnalysisPt.hh
+include/Debug.hh
+include/Delay.hh
+include/DelayCalc.hh
+include/DelayFloat.hh
+include/DelayNormal1.hh
+include/DelayNormal2.hh
+include/DeratingFactors.hh
+include/DisabledPorts.hh
+include/DisallowCopyAssign.hh
+include/DispatchQueue.hh
+include/DmpCeff.hh
+include/DmpDelayCalc.hh
+include/EnumNameMap.hh
+include/EquivCells.hh
+include/Error.hh
+include/EstimateParasitics.hh
+include/ExceptionPath.hh
+include/FindRegister.hh
+include/FuncExpr.hh
+include/Fuzzy.hh
+include/GatedClk.hh
+include/Genclks.hh
+include/Graph.hh
+include/GraphClass.hh
+include/GraphCmp.hh
+include/GraphDelayCalc.hh
+include/GraphDelayCalc1.hh
+include/Hash.hh
+include/HashSet.hh
+include/HpinDrvrLoad.hh
+include/InputDrive.hh
+include/InternalPower.hh
+include/Iterator.hh
+include/Latches.hh
+include/LeakagePower.hh
+include/Levelize.hh
+include/Liberty.hh
+include/LibertyBuilder.hh
+include/LibertyClass.hh
+include/LibertyExpr.hh
+include/LibertyParser.hh
+include/LibertyReader.hh
+include/LibertyReaderPvt.hh
+include/LinearModel.hh
+include/LumpedCapDelayCalc.hh
+include/Machine.hh
+include/MakeConcreteNetwork.hh
+include/MakeConcreteParasitics.hh
+include/Map.hh
+include/MinMax.hh
+include/MinMaxValues.hh
+include/Mutex.hh
+include/NetCaps.hh
+include/Network.hh
+include/NetworkClass.hh
+include/NetworkCmp.hh
+include/NullParasitics.hh
+include/ObjectId.hh
+include/ObjectTable.hh
+include/Parasitics.hh
+include/ParasiticsClass.hh
+include/ParseBus.hh
+include/Path.hh
+include/PathAnalysisPt.hh
+include/PathEnd.hh
+include/PathEnum.hh
+include/PathEnumed.hh
+include/PathExpanded.hh
+include/PathGroup.hh
+include/PathRef.hh
+include/PathVertex.hh
+include/PathVertexRep.hh
+include/PatternMatch.hh
+include/PinPair.hh
+include/PortDelay.hh
+include/PortDirection.hh
+include/PortExtCap.hh
+include/Power.hh
+include/Property.hh
+include/RCDelayCalc.hh
+include/ReduceParasitics.hh
+include/Report.hh
+include/ReportAnnotation.hh
+include/ReportPath.hh
+include/ReportStd.hh
+include/ReportTcl.hh
+include/RiseFallMinMax.hh
+include/RiseFallValues.hh
+include/Sdc.hh
+include/SdcClass.hh
+include/SdcCmdComment.hh
+include/SdcNetwork.hh
+include/Sdf.hh
+include/SdfReader.hh
+include/SdfWriter.hh
+include/Search.hh
+include/SearchClass.hh
+include/SearchPred.hh
+include/Sequential.hh
+include/Set.hh
+include/Sim.hh
+include/SimpleRCDelayCalc.hh
+include/SpefNamespace.hh
+include/SpefReader.hh
+include/Sta.hh
+include/StaConfig.hh
+include/StaMain.hh
+include/StaState.hh
+include/Stats.hh
+include/StringSeq.hh
+include/StringSet.hh
+include/StringUtil.hh
+include/TableModel.hh
+include/Tag.hh
+include/TagGroup.hh
+include/TimingArc.hh
+include/TimingModel.hh
+include/TimingRole.hh
+include/TokenParser.hh
+include/Transition.hh
+include/UnitDelayCalc.hh
+include/Units.hh
+include/UnorderedMap.hh
+include/UnorderedSet.hh
+include/Vector.hh
+include/VerilogNamespace.hh
+include/VerilogReader.hh
+include/VerilogReaderPvt.hh
+include/VerilogWriter.hh
+include/VertexVisitor.hh
+include/VisitPathEnds.hh
+include/VisitPathGroupVertices.hh
+include/Wireload.hh
+include/WorstSlack.hh
+include/WritePathSpice.hh
+include/WriteSdc.hh
+include/WriteSdcPvt.hh
+include/Zlib.hh
+lib/libOpenSTA.so



Want to link to this message? Use this URL: <https://mail-archive.FreeBSD.org/cgi/mid.cgi?202003230746.02N7kOpQ057646>