Skip site navigation (1)Skip section navigation (2)
Date:      Wed, 10 May 2017 00:59:02 +0000
From:      bugzilla-noreply@freebsd.org
To:        freebsd-toolchain@FreeBSD.org
Subject:   [Bug 219153] head, stable/11, release/11.0.1: libkvm (& more?) not updated to handle powerpc/powerpc64 ET_DYN based vmcore.* 's and such
Message-ID:  <bug-219153-29464-Wl0iS7VjBX@https.bugs.freebsd.org/bugzilla/>
In-Reply-To: <bug-219153-29464@https.bugs.freebsd.org/bugzilla/>
References:  <bug-219153-29464@https.bugs.freebsd.org/bugzilla/>

next in thread | previous in thread | raw e-mail | index | archive | help
https://bugs.freebsd.org/bugzilla/show_bug.cgi?id=3D219153

--- Comment #4 from Mark Millard <markmi@dsl-only.net> ---
A not as libkvm tied note about which gdb
works better for 32-bit powerpc in at
least some contexts:

I took an a.out (from clang++=20
targeting powerpc) and tried
/usr/local/bin/gdb and /usr/libexec/gdb
on a core it generated:

# gdb a.out /var/crash/a.out.29973.core=20
GNU gdb (GDB) 7.12.1 [GDB v7.12.1 for FreeBSD]
. . .
Core was generated by `./a.out'.
Program terminated with signal SIGSEGV, Segmentation fault.
Segmentation fault (core dumped)

(gdb itself Segmentation faulted.)

# /usr/libexec/gdb a.out /var/crash/a.out.29973.core
GNU gdb 6.1.1 [FreeBSD]
. . .
Program terminated with signal 11, Segmentation fault.
Reading symbols from /usr/lib/libc++.so.1...Reading symbols from
/usr/lib/debug//usr/lib/libc++.so.1.debug...done.
. . .
Loaded symbols for /libexec/ld-elf.so.1
#0  0x41b355d0 in _Unwind_SetGR (context=3D<value optimized out>, index=3D<=
value
optimized out>, val=3D1105281072) at unwind-dw2-fde.h:162
162     {
(gdb) bt
#0  0x41b355d0 in _Unwind_SetGR (context=3D<value optimized out>, index=3D<=
value
optimized out>, val=3D1105281072) at unwind-dw2-fde.h:162
#1  0x4192e370 in __gxx_personality_v0 (version=3D<value optimized out>,
actions=3D<value optimized out>, exceptionObject=3D0x41e14030, context=3D0x=
ffffd5c0)
at /usr/src/contrib/libcxxrt/exception.cc:1203
#2  0x41b36234 in _Unwind_RaiseException_Phase2 (exc=3D<value optimized out=
>,
context=3D<value optimized out>) at unwind.inc:66
#3  0x41b35e10 in _Unwind_RaiseException (exc=3D0xffffd5c0) at unwind.inc:1=
35
#4  0x4192d870 in __cxa_throw (thrown_exception=3D<value optimized out>,
tinfo=3D<value optimized out>, dest=3D<value optimized out>) at
/usr/src/contrib/libcxxrt/exception.cc:774
#5  0x01800954 in main () at exception_test.cpp:5
Current language:  auto; currently minimal

The same thing happens for running the a.out inside gdb:
/usr/local/bin/gdb gets a Segmentation fault of its own
and /usr/libexec/gdb works, including allowing the bt.

Historically I've primarily used the system gdb to do my
analysis of clang's code generation problems for targeting
powerpc. Including when I looked at gcc 4.2.1 generated
code for comparison. The above sort of thing is an example
of why.

--=20
You are receiving this mail because:
You are the assignee for the bug.=



Want to link to this message? Use this URL: <https://mail-archive.FreeBSD.org/cgi/mid.cgi?bug-219153-29464-Wl0iS7VjBX>